Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

eqy stuck in infinite loop with gate design primitives (Xilinx gates) as blackboxes #55

Open
xtofalex opened this issue Feb 17, 2024 · 2 comments

Comments

@xtofalex
Copy link

Hi,
I guess I'm using naively the tool by comparing two netlists: initial RTL and one file after synthesis targeting Xilinx and providing Xilinx gates as blackboxes.
Still when doing so, the tool hangs in an infinite loop in the eqy execute, in particular in co_flatten_worker method.

I've attached a test case.

Versions I'm using:
Yosys 0.38+54 (git sha1 f8d4d7128, clang 15.0.0 -fPIC -Os)
eqy: latest (5791c90)

test_case.tar.gz

Thanks.
Christophe

@maliberty
Copy link

It would be good if there was some response here. Christophe's work will eventually benefit the OSS tool flow but needs to be able to be verified with eqy.

@jix
Copy link
Member

jix commented Apr 9, 2024

Comparing blackboxes on one side to logic on the other side is not supported. This should produce an error, though, and not hang, so this is an error handling bug.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants