From 8a8cee2729f7de1fbdd100aec8c9bd52f0ceaaca Mon Sep 17 00:00:00 2001 From: Hao Liu <44379968+TheRealHaoLiu@users.noreply.github.com> Date: Tue, 24 Oct 2023 08:48:19 -0400 Subject: [PATCH] Convert simple-network-diagram to drawio.png (#886) --- tools/examples/simple-network/README.md | 2 +- .../simple-network-diagram.drawio | 1 - .../simple-network-diagram.drawio.png | Bin 0 -> 34793 bytes .../simple-network/simple-network-diagram.png | Bin 27643 -> 0 bytes 4 files changed, 1 insertion(+), 2 deletions(-) delete mode 100644 tools/examples/simple-network/simple-network-diagram.drawio create mode 100644 tools/examples/simple-network/simple-network-diagram.drawio.png delete mode 100644 tools/examples/simple-network/simple-network-diagram.png diff --git a/tools/examples/simple-network/README.md b/tools/examples/simple-network/README.md index e5569747b..bbe30b8a5 100644 --- a/tools/examples/simple-network/README.md +++ b/tools/examples/simple-network/README.md @@ -6,7 +6,7 @@ This example creates two receptor nodes, called `arceus` and `celebi`. The `ctl.sh` script (equivalent to `receptorctl`) sends the message to Celebi through an unix domain socket `socks/celebi.sock`, then Celebi forwards that message to Arceus through the docker-compose network. -![Receptor simple network diagram](./simple-network-diagram.png) +![Receptor simple network diagram](./simple-network-diagram.drawio.png) ## Commands diff --git a/tools/examples/simple-network/simple-network-diagram.drawio b/tools/examples/simple-network/simple-network-diagram.drawio deleted file mode 100644 index 7a4d8d590..000000000 --- a/tools/examples/simple-network/simple-network-diagram.drawio +++ /dev/null @@ -1 +0,0 @@ -7Vldb6M4FP01kWYfGgGGkDyWNJlZqSuN1NXOY+WAA94azNgmH/31awfzaZKm06TbSpNIEb62L/Y9595jyAjM091XBvPkLxohMnKsaDcCdyPHmQBH/irDvjS4nl0aYoaj0tQyPOBnpI2WthY4QrwzUFBKBM67xpBmGQpFxwYZo9vusDUl3bvmMEaG4SGExLT+wJFISuvU8Rv7N4TjpLqzPZmVPSmsBuud8ARGdNsygcUIzBmlorxKd3NEVOyquJTzlkd664UxlIlzJiyn99la/PwBbv78J3dmPPy292+80ssGkkJv+CGRHiMVKixjIJe95wKlI2cycoAEBQRftljuTHbQ8AkJPZD/oTcp9lXkpJnMKaHs0ASL5dJaAjmfC0afUNWT0UyODyLIE6QWqu6wQUxgCcEtwXEmbYLm0rqmmXjQ7i3dbvmX36W8AIEZFx0q5RbtWiYdp6+IpkiwvRyiex1fY7bvtbcNBWxP25IW/I6rjVDTLq59N8jICw3OK4ByDaAYClEuKLvJkNhS9nQSAB3mbuxl1LzbyXw6aQNg/yoAtatLADDpAQBMAMAQAPb0WgDYBgCQhajgRthpIQjOZJCrgnSMrPLeQcxghFHTp3Hq5c6d5c1t/1W5cw9XiHynHAtMFYQrKgRNj2ILdSuUa0HSd5CIlGg2HHAvC7PtDPAA8rzc6Brv1DqCnGLlZbGRzrh2Iqtfriaku1jpxBhuuTuWRVtAGSv26FyGN57T483MM3jjT03aeFdjjWXwA0VSYHSTMpHQmGaQLBprwGiRRTWizZh7qrA6hPNfJMRegwILQbuIHY0kp4Uk7Yn1arUWkMVIvJwNai8ncWGIQIE3XTEdivJh6i1jcN8acCASb3n+rgwN3K7bhRu4XlcCXxpvez2EyxU0eNdbeQMFzMoRpkpgNxhKWSUyzMGKyatYXdXFvN+RSx7ISaoWDlLqkPBdGhhJ3c/9FEdRyTjE8TNc1SmtAy+de8HIG1bVk3w3UrY+oem7dA5BQ6lsjT3fBR24Kna+jlQGC25sv8uCrgO6XnN1rull/gWY4JhEQASt8G8J+UASAnoSUrf/LwmZfi4FAWcqiPMhFMSZddGuFOKYgvTH9xTnOgoyGxaQvkIM6kn1lPb+isFzGOIsliaVmUTdLIDhU3zgZqsyrQ+feghlEWKt7uXh0/j7+8Bg63xJml5OkRzfnlxFkZyO19l7CRIwiFU9VIaC/FalD6xK7sAD8fs+2DifS5b8M2UJfAxZArMu3JMXZOn0+OvIkm/KkiBjnhjEODePytejVj/zW/gPlgnSqwiGeL21ZPSKlmXZ0+ndUOo/FwyNechwLh7L16dvTnx7Zr+Y+K7/nonvfq7Et889kPqXzvy3hdnU5oJLNvZj/7lTybPUdyCVUh5CNJZbKzI0Vht/VOfG/LyD5AXSrv9YACZj803i0Ato4L+aELLZ/AtUFufmrzSw+A8= \ No newline at end of file diff --git a/tools/examples/simple-network/simple-network-diagram.drawio.png b/tools/examples/simple-network/simple-network-diagram.drawio.png new file mode 100644 index 0000000000000000000000000000000000000000..81bf8d422bf401600a4413a18e021b10918b164a GIT binary patch literal 34793 zcmeEt1yoe;+Aj191Fy`lD+XRU;M&^3!p_se zg@K35!J2_rmVt-I-&w^^8zG~pF2(QUYLAc-61>9>Gy^?cEnIAV^-*V#;boBGwsUZ` zVCP0X{5h73uY#wAyZs$EYa3^vyS4AH&OAcAzuvd@`_;hDbNg3=o#*dKe-6UKg=)}p z@V}geo9nWhw}Z8f*ROXkJ0jfNoDmN0f8S{3=IUx=h4{OU7M`ANcmKYdt()`ZRxby0 zx3K#?VN3o2Q!_@T%NT&dAs}qiFY=F2CNB{})gHSih%@>*eJC_6zcYDz3H&4?SLX zxUM^oke8LBp8z}muf_UUID21iSj*nR)5aQD21;Upe_noG2pd2~0JiZ0OyQMgzUu(& z40zyXo!5IlFoO+%m76ygZjYFYvw>!qd&k=JyjxS=01G2oA({wo-8`!5XOy}bVu19<-#1GokMyBP3Wi2kN2 z7M@l%-d_Jeb~kT?vx6%j;J*#hzY7@btYwZ9i@NYrB+UZI5tq23|u+!%xNgWth1E zYyS_5XyN7l%ktVf_@Z=J+TG0oHHw@MFc?Y?P=f&0&mCo9Tzr8oyW4YE-1Xw)0E{-m z0-%+r8EV}B0=ePm`HS2LT^hJQ$&G;EuZjMwx4%W{zg%s9yRkpnMH_3t!CcxgH&2AU zo1L4hg|pn>TBSYRyj`vTu!eu@qvD1#gg?pYXoEobU3yCkZ-g5_zCUs7UsBS`%^MI} zU<{zgKQ%Lel|p%YgoURaVB7z7ze{aE?d4ame_>EPZJaF-4nBYG#KZN^sQl9uN_l!( zpv>^^4nGCyr-ofxN_CWE{moAE{WiCkcAA&(7rX!Png3pY?!W5~80KFq_&M88Gp+F3 ze*dlMKWn+U|Iu<-xu6`ZkAnr^R-FMdOIvyZS9YkYKaBZbAGiZ@0KCM*!*hwK{vuL8 z75?wV;2)IwuXf$V!P*+-uBAO~yd3;3EPuLgl)1VjJivc`X$Jm(?p6P({QQ>pfAFxIHKz+``R*nh0>e_s+Vm;WcQWo6@RW9jf~{y*{a|GSW%0{B1TLU{k;LjJh_ zpK>9W?&?qC@qe`kxm4MI*MnRt!~d`c;Su_K!0_9n{3{Rg*ZzO;IR8B!B zkiU9}f9g+uM`-}Lx&9(T|IHr5%iY4t!PO3c2PkmojKZVR7FJGnKhe!^ybFXNwm*OV z=;G#SZR7c;$MQdafXA2PY5&APC@1yHY`O#C>hA#fpSq+={rRu(NIU}Em)VQ|VvlsG z440!`^83HvAzkX~p9Jf7P-%q#G7*1~ng8#V{_pWheE%dM{y!VoT#D>pY>L+Zt%1!Y z^?*VmSVz34&kg zQIu)_BO8Uf`BPx~PxBu?UCWz&mz?-NlhL@$ME<{`_}u?d zKzd2U|2ob8yYTTpoi+GJ-|X!LWaj>=TmQl({Xe2g{9LHtf1*k*URD-196++i+tr2x zwQ(~PZgdBF{s(~MKLW%5wA%eOEa1J(@sF9r-&w;y>mBc<82tus|A7JkV4eR~1p;pV zu9WsW+wi+q_xt{jI$mEt-vSyM4H{flO54|T&E$%2nhsg8oAB3wXDT;XV--82B1%GW zaM)RyF~PDvqcrNe=;$`dIPpb2_6DEQ%Zu2y^t7#6I3&?;*lY~mZo2Stg+Ev?K0*e< zKL$}zzsnN&7}W35F0hzS+i{O*6Aj!&|2PrDT06dshn3NP$oH7#)y@|8;3MsV%~-fb z==H2mX0mT&liwG$?|B&=8`U^XnAz65`gl4%clFt^W-5Jo<}#`$e6wozJ7NCldn?ZT z(Uo^tLN23Owt{0#W&sED&O$%L^;)M?Lb`7Xxf$lgyx&nYZ`lkzz)0x99#9U+jk?Zt zGZBrx1Dgx2BGq!g&8zx9$mv2T4tc~$q_L8D_&HcjOG%gU(&71;ssxWdpw=wGh zkvVeO7ISkOXq`^O=7Mj+p9f4n?(OdQ?l$fBss8oPL4C6X0eMU(BV}Z;pB6IL{ zboo#scVUn$^l>&Y5v9Fp=}3GChLsLDkJle_%P zl*g*;xol^_#zG;78-~@CRNN)(G>flg6*5OWP4nLc5CU5fYu;VSb4NtzRq7o)SRHaQ zG)N241Qj-4#L8-l`sit-*raF zWRcqB75Zrm>}MMyM{rs$Jn8jt61RbOPlDQe??t_qM$157OAp?@_f_Z-^uDazQC2&l zAO&;+%{T+P(cMNZ6lRW-oXv+u_zInbB;}o2rYbpMV4I`?hRPUP6O=HhtbnA*Y^^o* z=?qcYGDYAWv{JnDZ6YtaaC5?^XzQ5nO4x%zN3E%5HVg&N4cGgGP0_Bv21q+>uFp|$ zdnvfQQ?15X1U)K|R711wypC;N)ya0B;cZBmD3fVO#A-pFE;#Kk354T@WCW238(5K_{9C@CQv#FEguqg6*Mi!CKf zqa?jf)}e_W=ospd2?Bm$($!d3}^ntijf z&~38aN{^Gr%VcOHpc*Fe=e{08E(9XvY}E^Z&=1_y%pdT>-t>;xsog8iVTVa!i#7(D z&OHRQml%zknbF@`L$U{-XhR%kAx;x%#=vrC3PKa?hp-O$W);(>B`=+Q0H=K`Lr2Rf zTt_PAHg$K~oR)C;%4aKCC`|VA*QHdW-XuaQ?s;UWvzsFRxK)!YA=WD^O-t{>5X-nX z^^Z78_0braAhE%=yypx^^VFKz&^Z3$(F>}Tw8T03U|Wp`is6KDZ!-H6n!i1qn$s(h zbyh)GO9C91uw~E#E*(}^qxXr@zTm{lN<;*9TVInX(HGqW!3=QNf-g|S3ROxeUd(Qp*oyNI6N$Hh2G@c4ujzM0mEF!4B;zeq^8tZeTMsfdCpTG(@j zN^uXSN@WTGmgfSmN8jQ0soHhxiohQys)n#gU#*utCE2v*$Je@Q=>U33;&|i?Y2$41 z4>Lge_xi@?BT{7L9rz6F;_bY1xjhVN z^EcamsTJ0OFO8$n6+S=<;4BRc?~2iP$2qyo98#HCk13F;U?T8dt(-k@HF8X&gWfDf) z05p)Rqk$;YBX9Yf$C@m&K`LI0AuGpn+2FOluX|TsJ`sjSPCS(@x;w4N?o+Wo^Db27GRu_>UM`|pGCqR{nD%?)ocxE@2a z8q!y>0ujv!%YtpP?Y;2yovWJSmZ5@~Z0`BNZCZ@WBcVHC0x+%x#PaLq`Tj*GjGpYS zxDp)Go%XLo_hHuK+a?8(Y;frqlCCs(b@l;$0bX);pfqTsTVA0pV5Ccm=vqdvCDfd- z;f}2bVTVDTDcV ztRm>}NaGTtV76#mZB$f^b4&!=zLi(dBmo~$o<1qMQQU-cHCi<#yUZ95Erf*|wtv=> zW6*2&q%>Di+Q;)ruE2ugNo+4J<^W}f5h4gW>E_7?^Pe;m<~GwF61)MZFJoaK#n7-k zpmvX3QaP=#t$kn5VW8mvE@*NwVKL#r`5u}G$F?8JJ#tDF&UV1(k!dzjMRC0`*EkZ3 z$ZQ=~Nw}Y`_-U*SE%=>n6pLkif8M6GzC#ur`0i26g_3IWP_m-5rlci{Or2R=N8nLE zTb}q@^q%pL02NNk7I)?&zEIPZxGv*iYt?fZ5xe&Ho(5k^jqw^d$GvFh zWd-=+0wU8YmnQy{pn77O*wJT|ksXH4sDaEo7_Y!FH73IbJS4|ZhxFHIV7=d>i4Xcj zKqgr7q-shyv90#ZJd7`gX*ayK73DiVquhhqNMUkMKde)Mz?@--9mzR`4Z7CShiTt@16bx#X21fEO!M_&$d-4GS;LY7fxS23L@L@Bp zUTh=APryV@*D;7k9^R`ZM1k&OjJVw;D)F;D=cj8Vr3yn!11GB!v2}BUT@8@u1LK2P~{V zRDs5P)%#V6^2l4i*U|cl$ufnqadfj{s`l5iiF$ZJ#x1n{16z`3bnY{sGMFQ{xHJZ8 z;zhN1;+O#pS1z0>T!URZYiXJ~>me*33J*`pnC&g%hHqN`c)I2%9SWDuH=mVSm!c81 z>}$+kN^QCykOON}kT7j$O#|2Hal3KR1MKUt>xv+(9rj3thhJeCPLs7kPQ$-h8t}^k zgy#pRm3mSEo6U`3?{~%kE}YTgT72n&i&l+F(?%wvM-*R?(@}jRuQIb?OH#OO5z0i< z-|#r19*}wAfwHQNDXzpZk5s*_JiH3L6DU92s(}kyYwWS>#3gdS0#_6az-Le*Q81U3 zWG%9-H#l?Vx^5g^H_Ap^aw9l6*r59E-ZyU&cFnI0b-wd-z@(Z_TFY|*gxIn83RpBh zAgM45opG!xZr6^%kmOr13ISixnZJ)*MqkF}4AVRJ{Zq_DzkpC>^>fi+;^4z&GL-;3 zMDt$k&8y@$qS}*BBT8@=r!$hoY6DjN1FyAUT~LXHK^{dn%Zjhcy$Cvy7}tBr%9_c! zxcz*ml_o7xRHhq4j1(P^SnUr-#p5r8Ihx~PYa)jflkwN1G3y^s&!l`44BRzi(|<-n z#%b~vNnp1c4Lhy7(A!*$Js+_k=_w!x*r$~JaI*2{^`MMKmA%I4)`&%5oioEe=*cYB!Su<|P|nC0<)#0(Ob&P0rAZ5q5^(MGz@d}mN8I0-|h zwapxC>@hly>xq3HrNixr?;n{tkhqXf!&0+6>=2I~+r(7O7mk>TI4(Q4ewr=ljla;J z8(9!MT2Ow0BrUKSMCvoR4fRO*o~HWKuvzI+c{GaQqodiAzjXMg)z_alNB^YKloo-ED*{&oz&R7LRC6o~-w%#tbto4PLcSMrHz zR^_g(3)Pu*~&X z6arg=t>up6BA*wF^hS~tl~muh5=gw^#Vb%!fI^9hw~m@W=-1jqCJVUXsX0Td(Je5G z?@Ja-<4%O}Bpk&(eaQj)R$JRTUtf4U_qTP(sxg+U|57tMu|+>DT0ePlo%7|u)#1v? zh}egZo_g&puE?ndJa$UHk@nVhw7oMe`QgQw)$rFB7MwE!)FI^|1QY|fIveGYWi0gr zkB4!WcE8=S-wdSCuGG9C*6h>aI4i$48Xy_;Wjd~#+jc4N2gsV(-j4t zjnBB}%S==egrKpzLCH_k9?ymtB_94rZYP1qCLCSQU`^MXs?K_1oN%vFLMy2Ez9i=n z=WM3c$8b4jjn?Z}dq1idsBa%r?p-8`LnJoDLVLD0zTdJ^S@E4ubI*by><@T^_m+~q zZ%N1hlOq*Rn=r|*kl zr|Z;0vZ?7lc>xby9%Kz{_8uGTx0h6-~$r4lj~3Nj27=y9wSwB@%HPtt{1oWJh{ zQ7xo|DAusFCsy@7VCHQQ@6**8mlXjikRp~LmJbqHg zt%vNN#hAKy0?xZv3?i7QyQgER%8Z|iPUh{2uaCpEIoE3UCu)y&N|?2p)9C~a_8J%= z);dDL->sXyW1WLY$sQ?&M{xzcJTqcDMF{Bz?T4)>^XOF?0`h?vSel#T!2?{_^!v&s zY#_!L*2GFcQhNaxG=M#SIC4I$ zzBgLD?B#K^tknfi&GeaH(NQm!RUhSvPfRI+l{q`u z+@PJp;JNsWdos2W@;at|N>26c30!()GGfbpA||~Z{dwg`&1_ec^2!RJ?#-kHg@H}x zT=1SB0+AAS;Aipx9(pF9zUV~3V)OXc!>?yMoYw{Ea4N5H zNP|J?X35#;w(uH{4$PEgF5jn>ktEW)?meUFWZo_}01`?)mY3bx8O6 z*_LPJHQy$0TvDPsn=#e*dBReuBy=K2+w-r!HeBI>yMNyxR{MbcH68^X<4F#@Zh`#i zA?a)m-*O3thQmrUz%u;;B1JQ4$#ytlFr*~s$Ah;DmIFOoU-!m19O?iJ(VJLO5g`k!3^?8m0Rf z6;scesF}ZSe>f&(Jq|bYIh(q$=N314p(G^rC1ls4RcwLM=3b$^F7%tsmZh8*17x^z zBF!<({di_>6{}R{J?;`QAhO3pxXKym=m2gpwt?5iDli^?wu7p32=-JbM$f(0e`Zev z_)%(-D0e1q78Aa(y?suTwxUxqRvqT76%46@&jN=Rs(JD`nkDI^=eGDWFvSqk@eO}?J(_XeJLS_m1=JIK%SC5 zjUBz@2;N50@QmA|dV!BS0lc7UAZwLa!XD7#bA|=D58ceLdFuXmJniA^J4J+0MJf{-Hi?9?lwm^X~51;COTl9 z5$P-NA|CiA%;jm-eHs9$-tXv1Ys5l-Da2v>+-aM=F^Fl9V=6Lnr?J_;xc*tG%`kl1 zj9I-94Fo#PV{l#H;eq#1(yz~CVAraJ>s1V&+@6QP!W@P80JJa!RuFOsgJNiyVk*6` zHX$7x9;UCEY7Ap!AA4LWl{&TzS5fLBGkP)8WJf_Cavr$cEb?dtHtbUfGR#~jF@R#pM7aqkI9Z!$);f*8^Qj?m7OlbYf3&vufW zMy$77@{D_2U>0Xeq%Ic9kKFdt<42JOgZ_!U6{?yfS8Qwp1z4d3L+)u)7-?XmB&>ri zR#bFTIn?_AF4bWIte;8!Jluz~L38wqQG+l3Jqpn<&i2tHQl~F7uY@)#Hs$D`YF|RY zyG11{2_(n%CZrx4=Tmi0s2rYH9lurm5?k|PU0n#1&fiDpV+6uPDiy1sW-M}U&boEr z^u}&bWqn1a;?&#H_lde0>~W%h3h zN|PED#iwc;bs}>#5-QTtQ{q=F#@m7vNMHNce|BPpZKsvo8rS`1IP(!EbvMKkzfI#s z5Oa;X=y#l0ao600G!+W9(G&Y*2uUB@>J~d0 zSbXyF@VvW#`(l>iaB5`h>*2frE4r3!a&=>aA?fqr%4;76pL}-mEjJ;P!#8|xA6@da zpt4IT;<&9u5yT*6_zY2kT>X5+tIe{wRw1K#do!2OJ$j?pF<6n-opce?nL5jD zwXL5ko>y35%#kf*KxO9t z&QWhSwT3Rp@hzU2<;?f_>ta>yboA-xIj7i5%~n5-IVBy6;$Z6Br5}u5(AsM70Z~KD z)P-oSi+7L0>TvIVb7yKrnyrJb0XfSsf5xQv@rH*@3A0HB>|8jIWM+a+dqJ`5^ZY${ z_&eHuht4y~2rNbO7uAv5Xcmzjp(!O0FJOa@D4bu#1uTQmjezJ{3fdE>#(A7)7FfMP zkkXXj$If}={-Pp6QV(6kCn&j@KrA*S`J%LsE^R}~2S(enlKLgS@Y4`|cYDIw6@qd@ z9LjGmH1sHCbs3Y$A6E;Pu{gb&R+PnGj|2j#o(Ms;F} zyR=7-U~}?N&kEsD06x;(dLPZ%rh5an+{aj?KqlwNVb)aPs8VIR{gCy1Zu>2)b3SG9 z*>sz#R0IvTmY%d{qidp+v#tJ)Cm1M<{p z){I+S{rv<0B$WmH7zwOS0|5Rh!S%~`(g^j*NRyPA4HsFmFx?`GJ9(a5cwo{&(LLr(E2Z3AeT=lr&g6KNc+ zr_3B(%SuWxmP|feT2+V1ZK^4vBNPn`22Xd31#o-sgLZ>g@pQOWzQ$c_Ds@wp%f6py z%1802AqIxMY{p`J)O&$oD8`QzI`ltMVhs80k-)41MHG@;>lFGKX5cG>_>~Q~r-$R+156Nt5^+uK=md}tVNF35Sk6MzL#zV3wuO?@Ln>w?n3CEHEX#cML$>t zmyyFRGkAacw4k-dbis=0j^5q1cj8J-_%I&xCf(ZVc;C6Drz#AO%l)n2t|aa9Fun^d zsny!CBQJNl+Pp%8i)Iq0{-`2e`(sWld_028UYL_xVc6*O66p z+Bw_+gC^*rD=L!`EmD%S^I%Z9$hc}vd$Y)~s@_>`dqxAUSovp z(=8001F4FL5f3ESo7W?FFDyR16a}N-O$Dh( zA)Py)J~3ZKo>GENF$lF^Aet-UNemT(vFEPZE59ojE~Ymit$&bJ#lxhQr(tkQIfE^g zl`a*jTJsXL?clEL#SKr< zrZ8N;(Mn!RXrc}dPhPy^Wp=}XlMW(Fw=5A7vRF*!jtT!dMr&V4m~A6ubEAf0|1OUI z1e@HQV`H?%RSps2ye(P4{2j#h(ij=!pX6XH4#f!t!&}l;zpAu9f1=OBZRUBwcl7#L zn7j1AI@jAQrZ8ADR&#fpW{#RxnOIl_0kx$lsY z=DC7?IAolrx(}1apUH&Q86b5YYDR5;yW_Z_Vk!$wl&8;RGYEg~G_nv6+B0Z$r)@j@ zo?v|PxUJUP99TFvvnkpWEo*4Vu!+xO`NvsK-F0HH-5vk@ z^!>|VuG75Z@R&6Nx6#q4oCBg=ve1*Iru5jF22tAgpSrn~yG(X-Th;ROi3Y&vYBZ4h z&go2pOdxS3D2GXNWMm|GsH(gzeQe0s0zmD}QclR)2CD&Q^s9}ylA04Xkg(mIN&L>d zsFVb)xM-aj>>Tn<*y-iUOjd7eXBlZ-Gwl;zC?PkZ4BF;>*=JmfY1oL zj>i!xN_Bi$!n$h+)z>pk48>RMdMFb!@We>qbbrjvcP5IA%WYEhrl>C=b z66MlxC0VseOZ5D^tVgCV{bTRllZ%5g5R#F_l$E7_V%qIeQ&Lj0gMV%GpufhZABsnD zyG(r7t?u?fAfM0rcvHp2Gl$RayGt6PCu{YGhqK8VW$F)Al?q}HJ>8D_B$x1gWw?@AvpDwnVzl1QW4Z(VD#h)q3Q5JrC21lZ=VvFReuZSdQ8=W8P-u8h zkK$hLx5OK~n-h1ZY_{R{Q*AHli1?DK2$nFU1=GpRB^pZG!c`z(MH zrUA6af9oxUoB5|=xGOEBNr+?p2cb0y=pjp2uLPhe50=}k-C_#YrD^t(%&@g_4eqBv zMVyC&S2Y<0Sw?FyC0(BMH-Yi5gYN^Z7kuEct}=oL6n>-oT zn--~Tq{mL><@bcSAqvY=C&HPO^cI_1uW`3A(e_+K znD-Bm&?%YNnjyna&ebiaYv5x8+yZbnylc)Qm#H&2ZyQ^H21wq!qUB|&$aH*wg@GU5 z0v#`?j$DbjLZGXMJ$E;S0>1R^`K`Vz&gAxsb0dRm-<~-{gk$2`*1^;rJdSf07@ROn z-1HSePZabB^{S0KpBOa^6vK)6+$P6eV4b5$312=&ah&sWjhJK>_QYL7Nvm;{nCZtL zRB%GQ-^w%UL8Mb8o4QrqMOSI9MAEFtd01!jt_YC0*yQAHKxa04nF@-9Z+`NQc+L>U zhGTt$W(w>nUR)WkK;)#cG!q|=VIz34-%ntMvr z^R4#ohN*UqsTd_2xe$jBmgGXJmw`ofueVH6W@Mo=(x8_UcD034>G& zgYe_+YD~EMdt?e7xe@f{@a^Qg5EOr**?y^OgahbsnnBm8wkJhoed#P#4b?r}G$H4w z%sNW*6TMm@!KaONO6qiso<3~4RoAa#Mer(i*`P(GYT_TiOtkJa$poJ$vD*=N;FnZ; zTf?W9qD9Y;n>0c6056WeBzG&75+kP}hYr^8B~WM`47n^uLvP@I8(uq|J7~PY2Ed#! zR(3W>l8FFN4q-ZNdz$D&2gGoy9gQt609kACO4G4%pRf&GfEpLqyBePKbPWaNg$qm4 zJy%zHn^mRTo1P5=-u!T-K%|)r-N;Fx@sakkfYg~`lkm_NurSjiBXqvreV4}JV)V#38A>EcW2bJjb3)_fg^(>btmvy_uV zuOPvFHj+Y6=BLPO_ij>C`%a^0^stmZ9`wdIf(;1xRCq{cg6Xczb(A6Tg~ph|;L`V_ zb71ycW3RjfDN#{AP@O>N94>&U6rs(C<)Tl5T4$K--$E|VN;Pk{0I(k+Lv$eIt@`F#e6m(9)>TYQ=)04*E7kf~2 z^`WEq+E{}c!yR%S>)1N-*8OizzDo~dHkV5aDx}r$$obS^VLXyhh~Hw~-E@^Ncj%Qt z^YE|EPj6a_%HN-Z_t3KQp1=sd1BEyCkTzdxU2I=sxT5IM6<5krtWPQtN#0Iag#3tX3tMHx_6g9>+sMkWy>xj%adf()l({# zzLsk-=_HoBjJ=509(b3>s*ps^uf!njzxK3yNC!+r$cp8?@$#UeSlMggJ-SYAv37sD zZ=5GD;a1^Z*@c?KStQ_7W?mjlYRS@CeU63Al_}U6LD>?#5Eu#qf{O$={S`vLvxR;9 zvw00Ekz+9-(p_u&_h*-W5N8I6;D=>Ue+qseObbbe=0NG#OTP_i|=_An@OfM%#(&N_3p;fR_`J%c2WB z-smA?aDAyS%=Fya&wjWfqvAsH%_ATsq|GQtN2fhD^FD`nNK~C=X`}tZ)I>l(4V*Ch{dFAiQ_D9vr`zv1`&P^+05s*k?+qkN7$M&- zh-Pl&#fLr_#GAB)_b5VH+v;(H-$dlaKV?$Q4_xL`O>)R)ZLUd)w0W0Vo+Wmsz_|y| zx7-QjXcltz-1BxZnV1kg(hXh5na!ZCxK0odH-#fU6oUHNIrjd)A0vH16Kjla9?Vfi1-Kx z-gD;$LPv5CZ|=3C9#*D&NnZJGeL+Emc&=4T@L4k*NT))(*P61&rK%DR96&3kT{kWO z`xrl4T=Oy`kOZowSD4{4M1Zltek`x$_sTm06DP^l99cM6gQ+&_e3>>Iy+1H=3e2={=--*I0)|8tQDJ{2~VmJslg}UFk0E}96NJ_X71a(~-4K0p*q$Cg=rBtXm ztD3W$?t7{?ewwj@UGl8xj_@n>+H8(pxOBFg>D%ES%9`^m`X>fp-ReAeo0CXp2O%qR$oc*I8m-opARDe((SSQPkTcReIP;tEh6SpjPp z#7<(Z9Lio!W;a^FEa9WPkhNN^Z*0uXxaSvD_GFXOWb~}B^_J9jla-)Qn?r?Y$+z~1 zF17Z2c$*gSecEL<9Xu?YFAmW>Vw#>Hbt~m?*P$HN*jtL>jN%Z=cl(5d)P`VzY>k(y zDmXinBizgnKt|iRkXNn>8f07}S6<^9e9!_ssz0Y)1qd=)KUr6g%bV28!oG8kPs*y4 z8ra1nuXMEnK=ur89^V5{>^(^jfdf>vd>P2IGeWYyv_@2pk=rvQ#+B@d?~W7e|r>1Vkg z{)s2#VB#+82xzY~F|nEkvW^pm=rog})Co&3l4)nL%7!&cuC_+55^jQhyk92E0!g}shYBH1k!8ZMtp6a|xVWhHFsj5!-1G|s8<)+&K`)g9#+9YkK+sYYC zVO_BL0P)~c-`B5Shn}m&W!OJCJ2_~Hgb7X|)qN5prJ^FSdlu0}XR6vQHNOX7Z)F%N z-~Zs9Rgfns(K3bXcutX9;*~#g4?qBl7#H^`NAR5CJ@W(2f;pcgE>7vo{pm~89~)!W zw4V#hCtiPOx@isRd2*fDM!Q%TZ@5B7t2aX_i{r`d!;_8nN5N;ug#(JTk@p{XGOD}8 zqF{T-#Yq7jY5J`&#kZ;S3fj_-tMav!mKrAgar;Gg5?2(SQ*#(MN@n7gh8zt=!sgVc zdyn}}zm%xBYu1IW?>h?3sEtWUq&c6fuZ>0~dfCxIe!}TPW-AH&FhsbnuTsBE$Cp(s zHx|+$ezNX2Ubq1>3t=@GbiFw>r`Px7U?wf+6H3OhcX;>ouD4U3m$2Hd*fsm(Lk9DP zNyER~t7hzz55#JxTfQ^dhm+FFWC6vII5T7#)Zb0IrdB6q*(c ztV4W(hwkYtTJpoDg|{NdJd;v}Ss0I)|0{2qw=#{Fq2`Hb`FdTXMkrE1KY-uKKKScx_--sB62ukqd*XjWwRGh8pS|s zgT+xKMiN_F-0a@p+Jq7hf@7Wq}*@w=j{5 z^}EQ0&_JD4+6xR81ES?OnpJY`>13H$NePpxP_{jivLW6Q?a7wAHFw@*V^398Q?My9 zN`U%W6@$c2)_CPe$|xm*Z=wQ#)d3xl0i~zEYJq{07PY9adPxk2UUlwgcF4zlxH;e= zLqBIMKWi5ieX2$~?FH-X9mGSSeKEzZ(13*!eYR&bkja%a0D<{SY5CbXY6r|TE#_-I zhdQ&oO(%wuMumdYi_g~nnFvF)#ps1aeZZp*S)E^6De3JV(=2*s0e;-~c% zvq9!&38|>ocMovVBA-ot%Ppd~Sr03&nnBn!?-CGlE<6WLcFG(tVU1N&)HT3vCNv7X ztJf^lr3dSluWkw{o!+H(rBo`%O?f&&9Hh^Soc@7AhRNc`Wfjeek3%Y6nJaTM;=&8b zuWBoO`ve5Qk4+bLpA=NH4Jk`vXGG`3i)+jG;6R(2rlZ@{w*@Jn#_XOO07gE>3Sj7`cwC^Hf_< z^HdF;T>La3!|^a{XQKw!H}(DE50-`G#BwD>O{Y}p6m_TRP7I)u$FG&Z(t z4XoO-I8I`o1Wwr474f=$m-KB?P{A7Q+aB+~DOjrdeoNW!eD={+$J94nww!FvrjYxA zmO**hp96R}>T6`wC0HUokGZjr6nZ{Il4go0&7(x}o=)HAWpu#XIvCb6G}At6nlymX zRmk+jyBC7A|ATK=dm&_ z+Pa5%@x$IvBHho9z2U0ycodIiqkJ{3kcHGPLh?|}{Z4UU9wucZ2IvJ6tOkEfLO~I+ z@S#BYj%S+3s@^CQEh*HjYN8|Ls;4}SK)2jmPE3FTfzUuKR45@D1sm6D0xg*~sZC*D zy(x$dcp2;0^+2}NK_57=nq%hmK;V8V?5pTNx5&2#DsfH%hlgQXZ+`^fz+(;CuD*)K ziyCzXF}z=%D0LIA1CD>e!v)TR9iNv1iK%1dI3dFii??>L!_Wa6vGDDM830xAnAYbt zuzhjhH0rCOyEFi8t2aLsNQAH&EKP;bY@aowXNec2#|OP#LL1wLSr=sH1VPtCzi=h&>K(lD`0gc+nemqBB><YIXl32{{X;sO?cAAVx$ z7-!Pb_Q7RTG=z$fu&^hrWU!rr&p!6eS(m$m%RWr1k~L-W8tAA!kanq_*^4qk^j41_ z#$7b~@2$%(Ll(@0!R@TzPfEuA7nzh}4-M9zkfjm2oxqG+I(@1dOUH;%$>X^1a_-C9 z{H1FW#UCSB_1c~@Jk;9|r%{$ZpSoSgFvGE8sz2S!vKYr?1I`TtJ~R--(8<^G0=M3S zy?)KsJ@zWPEcd_~PU9(wpID+?YW>!#Ao3agTvSrR{fpqu$i&ck)b|5y)_$gUh}`uM z5%p}4%q6UZS4B}b^(C#4z=_AtW;FSUM70#?&91;nHJ?{+F?R2Tei4U!q=P)=em8L1 zw5Y{?<%Wh0s_#_016$q!Dr}#uv7#P-Seg5K+nl(Yn4o52#mhBpSZ88e}QbR$B9?nfODCMM_kAYC}w2UP~6m|RO`SV zmy^V)p6H0_TqT@djpoZ(Kuo0MF?23p31^3>#$=P3gP%zO=LDa3rrHgXf=p$gxz6y$ zIw5k+`x)!57j49M&qo*e#1<+I367}k%NEF_3-uF%o#F1}-$b9%V-E$kI+PcSz|+tp z(GQIjn+`X_+$7}3UIY(cC>@$gvk|7qlt^Az>EXPpFKMHX_LL~t@_nk=3+igkN_eeV zXimHuKT$_8+AD&MsiuR;=6lCVaZrW*jldY|DymOh($XZt%^`~cI;;HH+}U`kXohH> z$p-fW&b+2uBq&D{X03p#a}sU;G!N<==}WcnN0|9lq(3o-mk8~$N*{k{CVOEZ9(eEM zYOvg=tggrWJSQ*}>6ucqch5v)Bpu6%@^3MLD`NO^absf`uF_xS(n%#$KEr#AGarwc zE9TLwBBxsok6r#Wck!^3b2$|4c6PBoTjWiw>9xT#krq7yhi67;Ss$=oX-ABXYlG-j zy41VO?ki-6eNs5s2u!eU6eu2Sv5lisAXr;75-T1&0DYw`7W&9`iUq3cNj(!W^Q?IR zl(SzR?yUHrauwf%Ic&ifpYGpv_dfZa?sgJvd-+lAGmVXg(_oy5 zGKMfSn!#t9@K>sG(8x7^>#2r>N{OLH%&VTC*)J%fRDX)Zi}6_2)JVZG{S zv?x2?X!~*TsYYurMc%GBJ?N^mXeI_+5EPr&d%mD3G1tP9wlQ>QchMlxZ2qo^qcbU? zN%}SAZYXe0mJx!$$Nd?Sh%1UAZ|sQH&K6Mx8;R*f2dv(9ptH!wfa_r&5=hE9A!*duXUQo0GyrZ2+wpcKE7| zO@vX%7Ftl8GMl`9;`4|q#Tr?7g}wG_6Wz4e2%eFIH@w^)cN2xNu|4x6jYAZ~+GOIV z(1T6{7;`>YB~y=kH~A|*x|M=muMbtReT8vBHGZzJm-4nB&C{s_8Xfv$tbu8y>;Q3O zT|YyLzEuit<9h%K-<5rL5i01=XkgD}z>W_{ zbi3n^=;wIBMVv>nBnRyp9{u@Z4&HMn_*^5d?m}~|g*!w&tn6M}mQi`_?i{y_+dL;Y zZWw2H401f=TYo=-M~>0=StwF6gZ0k#2`O&@sWd{Q)vbGRc=dK5hGrluUi3mnf`tf> z2vC%MV)z`i0Ztd^EV&*mGJR}^iNyU7DvREZ_DWr=?&u~gdR|#v;=sqed_g)M5A^sO z;rYFibeU6z`#ChqinRNRaYwRH>xUGJ#VJ2}{nekCrrCBmn+CkQkmoY75q!oHgH=CU zB(u3?9z-|I52MXmilzv-O~W!%rWtPb5X$_iQHwDOMs-0d5HfN9xYa)GBNqwVV~RI9 z{~}19t@EcM)W(aVg6}MT7lkxyl8-L8YXOROyMBpfltlCH3V>q8q>#=&kZ|LLFEP+v6yF|>!S%d zC^9W=W^u7p`n1l`&y%Txvhv64uc@uMv1vm&yXKe{y3q}sM6}H zL*4`^olq9tx;pYhuq6X90B6GH5NCN**7a^`Br4bQE|Xp6rT<}!=yw+L|5>!wV8 z7*P-EXyV+?3=t}Tq@I{2Qt}E*0nSvQ@o>(32HG|q_5waGxZHEC?MBj+ypDtra{45&2>Pmiud?f&u$%jHdy%8X1$_XT4ztg{gb-oGR@f42)ocdu(U65VneoCZS@Db+2hYx{_5Yxv3 ziDV!t_WkQqE8p*NOzKZ9<=z)aP``>HPzVeZZM!%RI6XUNPr*2lEz>%pS;i4UOAFdh0oT!uH+fx2W4xoOczU#0z--ng zN)@!Lkw`5;1;onDbv%Sp`t`PWs(HddRf;trdiOvYb%Y5wW96k$-LS_X6|&anhoQ=Y z+jsyeOSZWSv7yAFFr2L}fY%JSQGf*#+&6+r2075G5aN2;>@BanA1 zCC=>WMST>KhQ6>o5hiJ>7=CNc8aa)Vp4W(#24)I>r?pCqIuBQBs?QpOf_{X7qJo!a z--Li8aEG~lVt%ha#=5#QPyx7u;hU;{0z8~pa-L=H9w3NIMiOi$!rWln8j-qJAZpD zkP{tWyW}M?m_AN{wD~MZP=~HGJ-_*+u_=FST1$1-gxW zlVHaf;P0T9cQ?{5LDCcs)P0pQ+2W>xPk=82hNWA4erOvmROnQGk0225K$Tp{Ons$v z0&jAehtlCmob3`X33p{Ku)}7K9^WI{|Fq*r2MIY3k|m1$RHF0^l+$($9Nfzi*C!{V z0b)H&njNU&Ph|im>K$zh3K*(3F|si!Cda?5>!v6{QPpywYBIe!^Q!N=`^mn`DUdI? z?V{lZ>$K*v7(|ll6SqU&B#^$Te8@hyKCkP@xhfEHFMC*iyww|*{eeV8gK$GkK>>9S z5;#{(#$npE+eB^I-xkqWES*0;@mzga}aofgmOZnnuh?Sd6jrUYWfC4*FYcZ3Bn(JxGhl zINmO9Js4R1ki=}palL*qB+-ssEGjkPB)po!-g))f2Yz8vj@)Q?IBw@c$!;Vds4H*^ zHsu%Cjo~nLh&?N&Nu#@kP9qB&8(Rh}#SA2N0hrDVVtNxHb%=x7bKnzVFG6zh|JB}E z#YGvt`yPfIkQ%xq98i!32?=Q#x|Hq~=?3W%1f)S)KtiOu1w=x+krZi=ZUoMnf9$=_ z-sj?6oXc~?3m;}?z3W};Sx;Kn)#Ev1Z`8~|OhOh@dK#(=y9WcmjxzRHV6Z$Ig7j$N3 zW|f|YrY)cl(fC=~VHz)5w@U zfToX*za;+wz>6l-E|)*JE82OtxbU=i_?%88zqjN4+*F>=-7nzAo#s1Y$%=C#t%)ID zhqLwDfIK*ZfZ9-oP%y}U<{EbdH8}kaW8IZDXRI#}h#zTPn)9qX9JjuERRr_h8M565!=r)#$7^~B`qtLnTt z=;JwcV7xcc+aCCZh;A^wKRIL`AL>7Zy@`V~TS-r~kx&&sqPHXw(AV50Q8P6b)CI~> z-vH${TBbnv#o(5R-|rHy?)5d9OJR%_y7AbF7ZA3nUUF!&L6xY)A}mYi%*6NYJ#Uhx z055KMkA^7p#k&nNi+Rk~i&c0(o@JF_Pi#hv17$q%*(gs7O9F)69zsY<7o zo(H4zU!UT4r+*eH4TS47M)BJ3yePtNFBE)b;|PumlvGXL0|u>W8MMICWyCoeAZ<{E!Wct9sQzE?7{PIKok*|m>AMe`dIw!7lRc0g|=voLSoed zR~FJ0>jfZ|ak^b$a>IyQ@Vth*xi|nLS`G2^@<4_@dy-kxwYaEy2#Aru48~)RI#Lh{ z*D-eAv>+=+h6Sn)3o-Z0sIN}8g2U&Z(la;tQb!+58)sk7k4nIaz*r3g`AR8CF zp?ZUlRCoy`U3Z3MdM%7JdpO9znmqRHi4!FxBrZVtPm}C9Gn8MVUgveMEatn-P_{QXW}FSdAL^-h9?1B|sHT!DEhH zX4D>oPy4iJRh~=%5(gYE=Ax4UbM}k16eBLjWpNr&Z<2r2mAR0WPL$8U`I>3<5%`OC z)J#lfIHv|SnP4RS`*T6$Zp-L@qhkz;{pK<-9PlA@$kBV zdnOU%4zQChkfS^7eek~MF=X2)PZqD`WK1Dd$c1_8i%zij>GrSQxCa;D@OeRtl~xaa zXH7aDS9um~(iIlShAk~pBuHZM>djH#L%=TM8NJNj*v~`+ZS2H)7(WCS)cB$C{6Z%7 z%yvU+CR3o{iMfpD?`Q!eK2$Q%ucoFJNu!u0qGR_5AU`sak`Uc``{-X?;e`eIVs6`s zRUEOW0dKpI=+MEkgj+O{>~O=7IHfJ3ed;QAD&({h!iWnnjUkP^Uq2OLd_bUh1V^51 z2{mh7RZrz%kAxXXU+2aTC(3(`7bvlIA4w62hI9mDJvM)+oKb`$5E>Ff&&(`)`bpLz zzZmpwUqP0Mw1|^0i>S;!JsTJ)l4YkUKw*bD0o~zgIe?uI=`G~Kz_T#M(w?96#TJAu z&<`R!Pez{~0?S_l8v!*OEt{ll&lRX*wwM7?n4TtCqlLnnf@+~MtsAL?7^dbyL>ca; zkO6J%WR6lgfB$sAtEVGw7&`a-uC^Y|0~8^Z*DB^nBBu%eB;yT(^*taq-QTsY;z>YP zGG0Z9K#_|PiS6?<#xA&_8PLT(U}&u<{`nxu3jjwVMSqV0f#CS(=MyBJuq`xcnj@(K ziuCvUliA;qvFkqC33kI?jb~CwL|X-AqTq`Q&nRjEWdj4%2mTmUs0|Y^#s!Oy$cL&_8Ok$*ahOX)*Z^f`I z=L$p`TN;?c3g0u9Zr;-@O z4zpHdDtj?UW--_RX^9LRoven%=^yvVJco0twP14?w5|7&h)huAHiXHm|mGHOv zRJf# z&wp6mA3m8M%YFk(F1`7=eoHw$Xn*TET)GOUwE07`Hehcwb!=W;{(5>4Bb??uX`$(C z#i2{&Y{hKfZ+y^!X}GI)e?hE9tJ#VttA2Ngfxf3l`h8?oo`3QNB_SBj1K!MInyEp{ ztS|mXd>K7GXC+C7HEGX%ZtC`hy+qKd4&8zuFWR?+{U8KW`6K77G!#UOdO#so0Fb9Z zf?zG=M3qbwXAc4o^F4<)ECq=|eR4cD9YRW;tBKA=FTp}6TJf3#uE#x3WSG$+pLsP4 zWZXBKK}=38$hZSXfOGvd(pplR&}C*Lg5I-c)dL)EIN<-k{r{`U5m~#Jn0?Bpxc;18 zb&Q=6H}0OAHM8<*%U1HKo&H3+oa9R1Gsm4}fmq9g=;reU`_AR?AckRvoO$0NR8R_W zaa_}JLki?uOCEV%X`cALAYGG`C`PhiM9RHxoK>0iK*p%~y* z%=&q~(ZRDm`BJbn;5(nWOqCL%%l&WVe05t=vHB_F7ICJu=T?wIMW=XX~2#ElIWFY>=`APq?A;jO=%n9iU~@f z$IFyzDBnK~J>tSH3?GDHC|E;PW%ps0iqD()oWg zH90CGw~y^_o1UC{jWTDNJklOmV3jvmdUMQEW^WXKwI5WQEn~d)^TTR$of%Y7fTLvN zeX3CFb!vl*C@UCH4Nj!^1LiOU)A>QcZWJ8Ie5kuKM+hM7n0C;~#EX zY#e1230EzW6E8s-e^>c5Ur+dQo;!nPiSJaW_-sGtV7%Naet#mwQts(>xwm13GH>G6 zp~Ne?I{2n+CfnN-Mq^?G@Y`-)U?1j`QUF;>q5;V3HSS)8hlOzvN}g1iv?-vZQAd9l zePRsq>AHT7|K>r||r%|_I*GRCd|x7EO7BTId$DJt#mnFy!DB4N z!<1?f->*JY>r9@=x({DnyT=3o{b(T`vN+(x%`R=XZTqyJolIjiS~t14C1FljKO# z=%n=Q+u>!ce9eMlULyfyxrle;&(kL#h2n>9^S)c1AV4dY(kI`KxglFb6`}Chu9bu{ zl!u>MXzA#T=zphHR8-iZq|NZAyjV(>&2w_O-{U>S1%kGzlxckdYf0G4Wy#mNmistr zbIV3e@ttS=>(+8MFP|-m)u4IYDjoax@?Ol|8_=q~fAlOCM#2;#UP*Q{F|2z52X;zV zPlWD)@iRnD`J=%<=zAHX)@+i&b7lwfkxKW@|>+6)DuZdR} zM`7SkeF1X^gdmxdL-G&uqDkz)7u!!;3cvZE|C(Z8HBD>$C*tun89n?(H!&APh|w4q zQN$E-F?gRQlu&eKW#!9$@#H~81((gR2<6ofFIDsnEycc&RHhOv$Vw3<7AEe%v#_tK z^wxRYM?8|C^s9wJ5az={0B-*Wy+M_MpA|&pNDFCA2;gr~o~r397Lk&9w%JW$&}ru&Vh`=r^z^01%+QpwH=Y8_KGKX02<8Ts7DfsKNq=Hjo~b8KqkxOTOgxq$Au zdAX{VHz9;CUl4t4N~7zB0`(6O__&cO&i22~LM&@o9y@I2OnnS2YdgT`VO10Jva#(7 zp&0#a#$#C{0Dp3q(Vl4zE4$iAf=@)^eCuY^p#R2{aX-V&K6shZ0p)_tqP>b~=$_I$ z3TRd%ow~hDv2B;rBi2!7#s0xfXhe-jBMOMf(e;NiYYq{;V(3R_zp_zm!Y;35GV~H* zcH*VxbCr+AQe)nlMQ^j~>nqjkvXm;;dvTF)6sOwUUf?NR2@{C(${(!}x-MgTua*9ReQ8EUh|p*(+}}Rfupt8RK-;3Ok1pQsurn zfQxt}u!Aahyn%8bg^SE8%$ybq6A>jNOr*cV$H5}$`gQA}&VZBU6i}rHxuESlz)>dX zUvSp2_vVzV{EB1A45i8t0yJ~gJA20|Hz`*5ZbDAS)-nqP>NJC~0K!qTrWyX59HrYX z!?teJ+SPWHo_ejOkUD9CA0^fMy#2(y!!VwZ&ld%K5kva6S<+kz<_z^!d;m4KXux9$ z+n~Ax=l}iIx%m`?B$eiy1>@t&UolYu>)7T9n4#b0TBK#Q5Y5*Tl>5Yz(x7B8o5&I; zFOT+?R5Dg-9*a3)tNL_jx-eg%d9Jgw^U?QL#3=Gx=^E*;_^85d+icHOf>z#m; zyBrR*_cMl4Ha3I0`oS8>6$KwJ4P+nn$R6Lw3$=5Es1VCP&gSRx2VWE$C3@htIkwF_ z>_~$<>RRH(kf?NEuR;S|;S6A(z3O%!vS4PVZdH@NOeb8)n0;Ml$3;PvHn_eKBKO2Y zuRxG3M4Rc;d{ScKN!RyiYL*{H1!QDoQ=0NR;bO7sdph7or*yQP$)Z>Z3R<;~(ZhdW zsTt}wxmWNxll`UX;C;ko0IJXf*P*{WeDDJVG@c`*8BKZ<^*<40Nf5EVM-hzVmHNCf z)BZOc!=#CyCrLBkHRk&V1{*{BlMr@jV`o+h{!krU+kAykj=J{2KV>!iXY2M+0n_v# zqYfUuA!>zeUS=Gx***~I-R6wGSrk-qPYx-@&XS0U)=3C)=6xz@S`3jk#l2T0tV|%9 z{8(IKykC+6rr93#@x2fpe%1>NuJJ~CXVeVJ_{FPla0ac->TSY*Vp39DV;{D2iuYb4 z2ZmMRco&VS5bAw#xqjCztglhLQk!=+?4FoO zMDOdoJE!p)f8i{H$QVh2oorDDf*i?5&0??%XOq!m>?uMU zLVP@jU=s_=+R??uMNG06IKO&u8G85W&8}z7d1`-bj-*yd9a0nbBEAn;M2wY$EzcLbk1yap> z+b~r8N!16!#6z1iha@8RG)Pf(isM~cZz#Ye7mB{_L{$$c^3@i+{?m*qIS zO5Mk&ATUAalN65&0q2@`WnpT?@!~BmHnykn>GtIG{t!ahet3h6*6XMoCaLQD$>`?h z=C0Lk=OyCVv-Ve#Z@;7HYM9F?uV6ET0Ru8P(A;ZJjhKdN&M}G#0Y9WjObrvAoO$E> z;Y*YE8w^}jh2_5J(w`~-Vf)UDtB3XNN8Zr9 zQO^%YaA1wv?lE zrpv?R-8UT0M=)H68DfDYF@7z9y`w(<8c}gLA3otl*z>-y9)7aDfMt&8z1xv3)P=Dz z0?Ac$ziGVj1J(J#Il_wA5K)x-?#3?dAR^G^69e&!nKLa`L9NZ^uxuW8k1aIaa#Z7C zvs|Y^$Kw_)qQ2XA^x~)7V+kS4lw>#S`lsBXaFQTtEcm@j@NHDqvi{hras{8b5f#7K zy8p@EeKnUq{5N}-qL!EWCjp#Vm`pkzCjZ_`6|w03M#i>jt6$Cl>>6#i8O(3@^GlNY z=WK(?0ZW%ru=_0xusU8yS~n_#Vy=ZR>5cKDAl_h&D*EJ1TJ+$ps4JoRk>{T`J-x9n z+bAOy(_aPn}F5U&B7S6f|OeVwGueODEm zTNL;L6nIcvTw&B@`vNgxW~ZEz#G`JospqAxXtcXNNzsCk4FfN06a(dwUA3D1WHf8= za}!bLQ))O^gI_uMEh*EUoxl0KM*o}8?g;+nYv@cOdin&bv|X^jO@A6b&AXg0TOafS zb)yNjL#qmer(kyr;d&hbp`E4=o9_>nrViK3d$-h?>+u@@((v?z z48P+0kF>)mAOom?Jvs`UYfH>B2Td9hJE9SE8%_^h_%0gph9CeDgC)g`jczjR;EAK|*@a$bm=e zDUX@6vvW64IA=_lmbLUUga`r|G&)hoedY)#WhAU|NjU6j;Ami00GP&LC9>On>Eg33+TIdL=^<6jL^hkdf!lq>-7dR zKC0vKSP*&2F-Yq=*83g{j$IdwS`TO=#^NsLgiqCP|&vKb}WIBw!zG^?e6CVD3D0jQz;kR)uJbmXfe3|J2@tE}V zt*I6zm2-UALABHD)A;dKULn6opMSlPV6AYDJ$>n&qY1{5zc9ZOtG={Y`*Hj z61l(ZVaqi~nhnpk4%JyhZ29YKlHwyY%`9=HZc<+8i+V?SW~7vY842HX^ z`m|43qLXCkJ20fA=_SCAE;+@w5aq|qujEu`RTlg4-VOnu&yT-!9Ol&#ARg3}n|-zC z`t;@c)7M|=Nk#FXi<@<90-jQCHX0;FnUJ!u5OudVDu47HXUFr7kB>83^ZPA^1TA_~ z=ov(OShaaAm^+H!YL&dH{nlNT8T!V^TXni*jw>;?S8eij#`PGD{Ke4tyVM8&AzF(92jBf zL!qqDqZYC0VchOlwaRlXUez~0`f&{#iV%V5;h}s#6oa}TmpU>C{gdhdd5?RA0a}Ke zVHzFQ_@P!RXgj>*3st(=`Fv|*neDPi0&K$Rpq+50f(^}w&m8GT@4<2f|Pu#G&X@7TT484We6@0o_ z^Ll$wxTk{Ge$C_9W!i;LFODD4#~M#)hg*osp$t<`wo={T&_~1<577*)xq94?!ZOId z!!cL6m=e%+o3^+y&|xCXwP<*uR%O8`OAQH~{gU#9KR1{KM@%+KE^y%)i?@)60wTX! z=$We>e^<hl z(~xY8vf73jMK1XCN8CT2T~Tkyi}8jS*AYmfpx~w=zayZmZCTo}M49hG$N#*6HFo*F zLHFlhq>dD@FE2|b4O($k&->(wFlbc2X47{B?kSLZu+YaYb69kjb&xt^o)a@J$k%QB_Sap&*&m9F76&BPY7r^ zwVe%IsBJ3}dH~jCA00WvV6d4wJ8B{#B6JK4A{b0kULI#_Yb!c%e}3AoapW*5IwzKR+Icd>J}|`n&(G096*|%MksJxMv;8F%KzvBAb6-^cd~acL0HuD(PZ-p{zf9$U`fxSC-0+}2=X+~YdJe#l`p=h7Nv`SK+unA_ zW`#h-NI90AUeb4Xm@;KGX`&X=f2^s|^uN|(;^%)U6_7@%%6Sd=#0sn7`*?VlmzUoB z419cvK|zq*++5d8z!>h#h5|>$TJGXxTxDyfGEY3nR`M_IT!+FPiX}=tB(H4rWFJ+= z^>_K9SC>#MZ1ut2t}$bnJ32r@M_%?%3y^kS9$!c$Cqnj(KXa`GM^y5pm%v0q37Ekrc* z^%Y%R`Kqmk*E_K2NaP%EDEiUM(3nxTwXIIuFC5A$DFZ`nN&0u+v+G~AWJxG0$-737 zoSnT~Uw1nAQSRw{#`oU`A?UgpTkEmEh-5NrUQI@Vtm;^!i;~RLo^llQ@=;&(x;=qLNWOY7)VzN@9`gr5!5tOJ zYsFDr=q?H@=PxO^QY6G3NAMnW`JOT2JT|{XE&MhO#C( zm)86}(}psi67s%bBNE*=A8EbmGpLUn8KF*nV#fm|j)&SzsJ2%u%{9K8v^6rFd%UA_ zH2;d<{`T>Er4MrHQ>C7)F5HJwSjRv7JmP9Egob?YL^WVNhaJzGbz-Z7p5LeHdhb6G zrlTTKGN($YKH2`P%rnkGULKLgm*u~A5iniXHkeH|IDPu~Pix!hJ_MR|i-PdU6(_~W z2txNLW{Gti>^F3nWAzrO800t0F+6#P>SlL5nN01BlGL2DH+3U0LVZCfhoQM57c!Vo z7?^HbsqZvM>v$S$85VL-QIKEAWKyZ!SZx$#_@jM4%TISjNX3E(HWs@m+QfZX>AL9U zMhp^Nc@6oAN_vrRBcqHbq~5E?*2k0mGASz2$qkQ!v$Mp}3VW#OJBM@+8#Xju)e0d0>yh`5I?^1F%U?k9z!|-vey{gKYasT?s4>HS<}J@LcYtz@Dk({kF?b%sr74jH|6 zbR6L~tN2zo?}*Fw-BN=|%pGkdYT`2O4L2{mXf1?+8%@ytFZ>+8G%N3O+oeZ)9^mN0v?HWYh^9|l_$yFw8S#`OXo1d2(El4M_;;^?Xg zujC%}w!16a{Ag}9@M}oeuZ)gG)II8{+qSCri6C)-qE*inq_tWOwR@`mu7h2mel;#} zwk5ee8FRYW1PzP8SLV3Fl1;BsmXmnMZ+G+^FZy2D!)_pBSVh-%~QM8QbT#;1@W43yxOUdk53q7l(TY> zi6lde=S$$E4sWxUHtK+jXz&-B@QgGRO0WMmv(x#gJ z$J_m7%aPrR5f4&hWbpIU1|Lm;%Q4uf1uo9FZFP4b&;q>HSz(S?<1?aVs~d8;gX#dP zPr?wWY(&V#FB7C+&ep)UVF)hfOUi9Z!8rL(EcYA4gJz!xWS<(*cML;bCGG(qiwU+8 z9!^RqcTllBmf-^~9Lw7ZYQY=--`&9Sx8nT?jF;QG=Dy*f-KL{vEk{dd&r1G!t?`2I zWlCuMd}jNqod1+{vN8Tn>(y|a_gPwl0IQ$z0_5XIhV2zzmfV11l8a~7(Ug}>H7tGr P*hN9eC`*?~8VCI^A_h@| literal 0 HcmV?d00001 diff --git a/tools/examples/simple-network/simple-network-diagram.png b/tools/examples/simple-network/simple-network-diagram.png deleted file mode 100644 index daf807d9ec3ea2590c7454289a8ceed6d55b12b4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 27643 zcmYiN1z3~s`#z4t7%{p*35kKI)aX<;HrU9~-8E{!=tEWjveVLksgoF-*MjDckfFL9!q?f2D zfD#_-m**rTlz#$H<^kRzuI^qgBtp{a|9ujYlEnG=2M9?cg`}iVUM@}n1Rp%`5h(Ze zadvlgcX9sjnv|pzOiWTlmc+!|2IAjm=thH9w;+%aCIQK|NlLXICPf)2exst&z!epA#r|s^?*I(pU)xwAb!j0u%*`F|AO`!d zEqPNfXGb|*84au?%+t)mRntvV(-RqLrl;j)>1PzE2h%gxw-Ay-n8D$SG7e#SZr*5M zgwimFVAn7QOKopSIb%m7Up)-UQBDe&SPctBSw&foP`HP#q$1255rp;B3Gu<{;z^So$ai1^OdRyrdn2yme6ynleV3s1O}vpohU)8W^L%AQJ_{AbC9* zV-H^)zc8$&w<*@h+aD1a=&S%Y)O6PK4|Q`g!Gs~ay?h8E+RoB?C{z7Vzd$YHFfUJM zIXM}V5HE~Zn3j7eUQyA@0q7?-7~vQsub?IE?2W~SN+|-%f>4n3_t*6F)CvMVt7{sf z-E~d1-9tPuS}uyR!P;IJDW^~;A2F%b~I3cdum}Vfuo_}W9;Os=wm=ta z>jWd7RG68pQ?R1BJ317Ph6m_sSQrqr-3;|Sq%b{l4v7mS#^w;rkfNR4G&V6 z#|9v=aJT{?%t;PG2!bK~)bW}+UY3rUhG7Jp6vi*WmEi8+83^<64ME@n1C0aC3{3;z znnpoVavGtUdb0AyG78eVy3!sV#*)$wE*j1r9%kmja7j}m3$&53t}oiaThqZn2jNQy z)^s;CG&XY&v&6~!5#Vw-te&fuuAz%tFh<9~F%W~cko5GGG4YhQz{4B}CT5QDBS&e#N+Jku!Ftl#@{TyeKpAr{ zCw21>-yoMTDO6~PiKL63v!jcFu11)ykD;%pubW;7PTS1LBs5G{Q#;flz{1?wTq8&i zXvEMcL>^=0;A4jL((&`eBAhjGI4x z33D{{ln$0QArQ~GkBhgwGh7y7Am`=pVJz*6FqD$f#sdcd;o)VVsV*h!AEXcnETg`h zIbIJ&2=oHXu!ftNg^U&sWdJt{P|#G+0&X^e8^Q5DMkWMT;IdAzx23DQj0W7y$1R&XvW=NBTQ2tna6dRmr_+F=+;QylRand-X&zC}YP6e$ZgHzEWnTENvUJrpFR-6i1xJ~DuzMmV5! zbO3j&0S_?I2z2!(gc$_`rEmqJr;sL2&HuTWfBpve{y#4-jS^r*Tab|OkzkN;;}F{& z8}b5HO_uY?fivH$U@9;b)Z7=M=No)9unhdIH4dn@^5{*1A-na#+ZWY;f{XLJikI&d z-}_J(B_YxH&hTtj^vv5N(4@7&t|y9IUZZ!Fs&+Yy(eouYqoL_FBa++NTO84aQF;%i zq&;Tt+)tdfQ_7Y;vw2a^{KtDodvr_dccnA0)d@i(%IZga*M3putsh5=N6Vro1P;Y$ ztq0U=MNrPu0O!40=UxOYC$mNjlRcP|)SHR#SKmS%Wf2rdBRx^i4hmeLdo?|(I$M&p z z!LQ24{_9sH^-3=99F@hiwE~Js$FQx(cNCv^^pfNLZY@!>6p0cs`gjT z5gmQ12O}N!U=`}v8yCw%&jUMh`E3Z?KW|CUw`iOBIPC7%h3ucQNj=t%H9Z^?40^MD ze7c#gKyt*Zm|kTd@F^RlXz=CYOJ~cDYF4Il_WHo9?BlcfH&tdYz$sL;_nKKl3C_%> zWFBUGVr-PPk0}E;Db#6Dzs5~&@zL__gs6;bNp@6-J!3_tg&Wq9CPTap@Si= zz4J&uCE14SwLCV-Z#9nQWPh#=XrlI~BG~hOe%=YsxVxLKbE^WmlZI0L+OG&ud1urwdK%Lm#s@2%zZmnk8dyT*w;S(w;{>TUN7JTJQR>O0@0f1kMP=#@sIN|( zrAKO>4|n?6_@11a=oB@vi+w9pf9rLt+~&bG^miT(*$dIQPj2T-Nayu3xz@lR28@4R zvybtBKjlZ;^|gMe$-Sv7RUmPnLwo)#@#k7Uq;1f{s$bL#FVIk6jF`gbsnv z{m_O7nyI5LTq;`2pI1aF#Y^mxJ-)}F``=%x8GNXf85Q~t&e2J?yTC{`EROh8QmNK6 zSXqb4hVZ>>Z$?pFu{|vj&Xe>u5BD=S`ld?YjXHtJzUG*45`rY_+YZjDzLJbA$_`A^ zi+81}(Ku-yjUSN@|G@6xQfB%XhhL*F?zHD&&-ixzX2;J7I@;3b)-yuSggACgw3tOw z{DzXcj+>ls-c<@FUmHkPZ#TvaJ)qef7Z$yywb^7Yfo6ZstHU!*KlLb5@a7UHDT27C zRVB$mI?Or`g~t)}4P>A;WL2#dJ;s z)T~aX8vf|pb%XgK(1|Dd4~CR-1vFTDem@agh-q_DO6G$!2jK_?r-=p7&WJPix^P`Y zQoyww!7+vuMt+r)R_mV7D=ZW*hgFp~1oI@FMujuJ%^MEdoED0aSILwFT)v2#7_?rqCg{a?Gm~KqgnFPXVBjmpi?GCsN@^=P}!M`6}ShX^M$ZnM# zRsG@oP;)KlyE5cL`p#5?x+;@`g2UU($SiKixaS=v=tFe(cbxGf=($qJWg4@c-bsAs zU?#;$rGB*D{TZ%IpBEvsSecfS$Kka_Qwf3bDXE5kDq6Vcd2VI9r^`8F z?@;th^^G?%0WRTwUGzs)L3=ImTbpx+M^74>+qrNotZUIf-~aLHnG-}I{|>X|3TlU` zME{{U8y4+t;%I>Hf}d05{EfAyW2Fk&I%k0;o$hrl&a;yyAeq;PQr&rs+HvADCrv5a zn&RZ`<7)GK47|4_;-K!f)ZDd3PdQ(+jweoTG7)yIDoHJ7?n|(LybKvW=%{lK|73l6&Grnv0KMlOn?|PC$S$M^>aT)n0jbD+YKxOMT1+rV}+OcnB=BzcXcLBnMx; zau|8(x5Lt-luLruMalGsn)-Hp?&ZhTnx4x?WB=#xx03-7LA=M9ShI>mK>xqG2x4y#Wv!(!Mqr|x zwqq;*p$uZzRJB(gFN@`a-&JRky8(@|2m%8eeEkbL^(QF)s(a}X5o6SA0L`^pl6Bq$ zu*N^gVUGnX_)e9jIXwG+-7zE9oV~nyxPypUrVHvDy7v#V_)?R0FR!vjsF?czRSbLv zLskF5BNBS+jh0?kDoKoFVu?8#DD#yD7eQN#5zB$f-|@a?%#gaARug1)E^maS$1$ z`1RoekwjDt*mXt4o5P$Gq-3*6 ziG3l{Ct}qn?n@hgO(Htrn%ezZJ-X&yc$WH=R-s9;f?Es=FK`Jn*Np5xcM63ZY+O4D z`ZY!VNA_FG>h_)yr)q_M&S467z;{Iwv--2-p(f#S!0G*5>0+X}(=eP_+5)Qz=CIkw z7k|Fh>rkP8hhj8ecq-CclfyZ0RfM4OwwAakO&)L6H%+-)t5`4!{=1-(mpf@+J|zok zz7%Z@JEM3!VUq!P5c{b&kR*P{lehOZfBjze#l2FBI6GnExM+*Bd+s`XD%2gfMEhk? z_ej3l^phOUutzwJL%i(oLYDXlw9jB8_0|JRLpO4Sj5NHK+qlNIbcYhPFYNo9+gd~a((j)ZlHFUB^QtCE+9F=jZW7zQTl8`uEFqw?4+vZj7DX2n%*kz8SCSG@P(z-s*MxHdH0@ zH@B1UI3rD@5h(4LW-`hi`uBi(0lOYse!$tFR<<|%o?=5v#8KX=c<3$7Wk>T=EWZ%sUy zYPOLt5H|pGz5K4$j58pw=X$w2m}=y<=b7cTz0xJv8K8Ch4YW`F{Nb8+l3EM~)WiB% zO>J+7;+`m^Wp_z^Q%N^h3MJ*2lPkNn^`^Mi4}xTNm9S144)jbR3;teUQDyir_4Mu z6G&F@k~vc5tcQ$~(!P5q(elrt#^@bXLk^Bp6`)_{13%;qB?zs(e=1$1?2_@Gn`fPM z75zC!4ycG=1#Org`CN8I|{EC<}<;-4d6VYv4#EcJ(_m+cGefsiMN zXMgdm&~g2sErsiX<`EfQ7y^vUI<1r9 zW1=`=(@lt_-!O?P^}SdVq}~32QMr25UpZzr$lvX;Ed0i{QD;yBs$F7U^i2%f_ar>p zkI|4z)=$Z_ewh=*^;}8DV;NJln9g=ySRJ;PCVTw%RHy@kSObMlJ-YbG=y~vchTY^` zlx4$TK$PY2IMaj8iBg(-3v!yTH!v{AQ)4hi6eDHOkfm;8;J9PR)f5RTJ=|F(G`9A7 z3x0*}Iel&&Pt+I~)5!SPCY-^3?zGap%{OUVTk45!KJz%&oWagA#8W^R(%$0h_VZ@ieC;%ng&1%?yI;+Hcm z@q-yfz502lWBpDb4jK#M&*oN6wP4XqlLdfyg6F(XnnF?`Pk2gikEO{4>qJskaRP^g z?FU`vk?;DuAOX3la*Z>M5d24GKYHhu&Y-90SP_1pT5h@EUW+5FuQ9;&lwa?K? zjSSq1NyRK0e6{C>d6QKpS2sq^n^NSv`$)Dl2h{<;j50Cpqq=-DK?x+t`^rweCozUN_y#iEl1N501qFo1LVzJNyiu}DN)0ErH@_V*&l5d9~rh83MjlYy=Wg_ctrR!U1j~Sxs~a; z|3s9|Wm$9%tAMhtt?l-F9a%njyvL-IM365V*rl(X232WeVy4g_A??emeo4MO=9ic4 zaZ|10)Enb$>(tO(u#$o%{rAKyRm%0%Tqj5K9S^o4I`aJvvpREA@SepI-9g0Z%m?%K zY5jvt4te^d-`}!U2+jlfPbMbYjU+s?D5Nelf#~89#UXupUB277#tOevqsw7=84QxA z0#Oe7!Qn?!IQQ5>U8dSfHDPXaP`_szlf?-ucT&sN8z~XW-UGZRqU0U6m7bE%cs9M zBv7Y_G-pgLjPc-ZRNqQl@Rg5ypVG@PZVP{7NR~P-u!GDAw~KSvMQ=u(LLHeZGC|T` zUc3C<(0O^%6Lx6HNcS3z>C0O=iA28M7)9nho&v1HBlm>ODI&lslkHdO(PmsVoI2@0 zKN+_Ywd~e1rzm_|%~Oda&Is!0GhThxs7FM>?o^?md?NbPc`T{VF^`K)fA}Td zkw!#6UV4`Ou%7qnYr$JzzhccE_Ogjjp_@_DKEfh{;9I7Wxj9O?d9WOb|EYh*hVwV#goP?c*PQL)8 z!A+%$k7tx+1BI`(wDW1&GN4`uY%O91GAAzjPm9Eg*(#lytCTP;3L?^6TURVV3VO=IHunYo+9ys&mtoIU-k;Cg)|z*bN?*-l zoaabIZ;an#379lIM75uY)TM=-d?zoR6|D4lZ1>$c^Zm2%2P4-eU!nw=RM5NB?e2}P z1MCqe2arlFR(R=SI^+xFiR{gYC02u{x%=0fJq-1oO;`&PGP_fl1Sy2|9tds-(7YNm zYf!JF|4^>ZVBnQ{UBl3uT-#Mcwpz~+alJa3iIGu++EKRNOx-&5Zc9kwn3fgGF0fk< zv)`0zC-428hmn zTLJEmFL+B^E`*pRUwD6{x>cboHYZ`%4lbFgi6zW(Q4_G;0e+&k-5RW>U*A7|$_FU` zyYD*M@z0;SJE5+*STvnfYkI&G%)@z!429@Gy>$dcAQXOVi zAXv?a`{!D2rxmUvzNF9;);o%6AWeAP-q^*x&~{BXoCsJgyl%_+A?h4*FPx6qgnN`) z4H}!rKprgrzMA)%jsM26yF&2Z^D0vKX2Hb$o|KF)(Tq=Xetmv@trk2p=e>|+K~q?+ zKmR)}1AF3@Cde23Y9Vt`&AmJoiiJI?1I+Y?rEBQ>G7#}I|5?|-5xeM7k9W(fIp7N?g)FMKBN1Mehfq5K7++jTx@F>)M00iY_$k1 zCe!C%ofWg*i{i)uORc%BodQcnaH;+o(_gikmnO4C_3+FV| zMiet~<>Is|pN7+O$2M(=r&&@5R+})_(+k{)4-aOmr}yYzn0(3+7Kl$4x-n0^F5q3* ziyCuFchw!#zcihGF!r)q-O%B>6%0av^4C`9-+p}uWZ@v^y(lDw&KrB|{VOSMrZeP^ z)=ZK43*2Lo^NL`nyfXRq*BC@bMktf&yQAlyhx29*r#rg>sC>?Ulsrp@nnT_4R>OFn zgQ*!Mr7&f7od9+kJlXs;7^|C!R{vTs%EXTV16YzHu=E8BG`3p&+@RUM2+H5wk9A(S z^V0KT895D2{kgyC`CU0+!-^*rC5`&<8NkYq!YT>nf4?4N98Ljwq_~$!at7ng&Vhd;BaMws;l9QUn6dkS5IF!P+o!XQ&B7?RoIvP1~EhN@@jfDKvB?$ zLISj79qArta-~@-Ml*RlXN8rwf~7X|7h}IlU@@-ObD%Gv=o$fvo@M)|yqE4mvA$4p zdbv6~ZDYF%yWa)u#dwaKMf;Eo-hNSi(*yzXUk@#Z2qLBrDHdA1G6+KHPN&TusAx}P z#CNRB$vD2`Jbq7|*Ji$UDp#W(7JgMN_xUWsIISXb%!0YUTIoylJ}v#<2aKp%M`U&u z`^{y8PIS~vl{Kj`Q+_S&2HqFtl($MfYySuBNu=9 z^*zfF4F_XFOv%ujo`{deenm%IN*c%S@4UGWz0Y!~v6ZdDgsH)%=TiBgj7c`F?Q$-? z({oyLYUSG^hS*lv$Sj~?)n~2F^WA%@gn_0}v9uZD>=G7_9nOYkb)KhiD+Vz;CI9vxEggdP z2{kTS&rHQnn0HKSUeaBP`{ao>4B%sK+5m$1L?H=4Z_>CGfEh#I_LkLKN4+5ZVWWKPj?^-{3!F3br z{HyxIZT?%5;lI6zRK?%lJL+SFVtXfto}xDGtUaguG=&m&SpaF%KQX~TMnTK?elqrA z@6#)Q7Z@*@y7i{ZRU?FD%s)MJwpRXO!1}Buk_8gYX<`%o@F-&O0P#RnARefF=&pF!V zj$qCGjnQ0LPgCQkhU7QQ>XDrxd)UqpKN+8o+^S&*YO~cQYo9&|-Zy&ft#r`jJQ@cq zvw(UMEgTK9pv9>$Lo5IxaaX0XVd8exBp0VI?zr!bT)HNy}vhVQ61f2Rp9PU*o^KBO^Y zyb_;3`r6)odL8q_$F_GXSYk+!Ad5_4*FG%<3Exub7CYzupiC}usi3Y5V`jzt^$+b< z^Bdvgqf``_*6w=A?TwFAttC*#_6>nDyWNeO+cQt|efhCVvD_HkOchg}ux=W#>QrNh zO0CZljbaQa!Kx_8#BSU8uoj zx3c>)uU!q90sD)=HxMQ^D}Vrq1*bI1q zhymlRsECeNmK00vf!gLmB1|mg%EMVaR{7J*pN2D8NK23&2u}j#gC&1WOkAC3N*2j= zx{!h_018l*s0@E1=5BZ|s9RY{9_4`V#Fc$oH+ZDMX2Cx?4u{|A8;#?J)<+l$+J-e=2;21)uJSF^prWOz7S!^$@NHJ()x7~ z$XII;B>VOc_UC+>ppE-ux!^DO+uSo*WlrC|R&;re?U?MgiG2X_1%j5Fup}b;yk_F8 zMGBDD4RVBZz=Z0E>c}glhz5Lr&(sxuG+fFf{d3YMBZS@ZDNcy;(R4<-4zq{}$3Izy zNd59^oZ%Eja@LR$DXA<5be6AuiRNf`+0#f$`l3lExy@9}umW9$eF%Cy^L7BbcELBs zB+$0yV8ILk2vZ-ai5ev$flv&s%2L&*s?uc^K&J5Ne6f^!?V_g0Eb=Vxawh1Cw99KK zv*MR2W+*xa$P^|uLa`bBi}$2ug&;5Es9&UEa@I#<)5AJ#I+GTOT8JHEk7~N&T&RrK zdu)Z@;}aT>X64}Bl!EcFoP<=Ct7)7G6Ay0D$g3yQ^B_qCUG7x~AAK|5RUBU%VM7Z; zJUTHdl!9fX#6Y-F2u^kos%4fB-XFgsW@QS6m3LAVocZpp4+-?;_4M>8Ag|dFiltm6 zqfSG*VY6_;{E-t2?;dFB?ihY^S(wLv3# z*`mR=d-5;Dt@%66PL@*gZnk{Y=1PQOwVbt5n(g~Q23E0X^(<~hWF)yqK&w$9@^!mN zp!}qRe)0(*xKVirZQB+%?JaW3y>gP05Z^I?1k#}z zg}|=`jY`|g|8|LDp0BsL%eTUcS?(9q)&-{76|$nbsWs~VEL^~=7hD^R>sv``z4;hK z!9m;V?VH*B2lhyQPy;ur_qG#4MxP&|T`0#_bG>%zQ`ISx_uJxpqfOg+$c|i)`Hz zogqj3%;Bn5Ffk_=$<_AYDUbrRzaIEhKr|n0VlOibCAQzjjme37xKS1aRuTWXLFJ*6 z#MBrYb9Dtj`8r`&T!Jef96VLAA32gs(zd0;yz%Vw;=i*>#=ioZ|bE^isATu7QBxDf6UGnS|UU-P-{Ldlq zo@Bn*UHcHrcKq}B<#C7UZqnfG4$FCMO>Y?63#j*e9lgJeCm?TXtLr8}Tg=+FJiHb%U=aVUw;dR4pbxUiZ$A9f8%y@g>x0zf#kFV5 zb%DRXTkOa|i!F#iGq?b{{Y>$ZaqPw-T^O!(ev*e&A7X91|Lp^>Ho`p8=jB;n^($ke zdaV)lZBr7V=N7^ad5kA!8^f7gFtnAT58Kbu%A)_z~H)OczjO6r_H=7MWPm9{hve$H&JYJl2|uFjQ0}PF898cxK#L zmJgw}^X`d$$kFaSKJbQkS;1SRN($E8Lmq2)y%E6vqHuHyHb+_Dc&kwHn&6{`hK7*_ zwPM~w-2a^eU?Y;+saPvpvXnC_!U@poB{m>rqhbS?*04_9&Lk_oviHlY!jTHF0Au%S~ACXMS1zX=ne(4so?#>qnaYuvXOGl5d_TYy`yUoJZ= zeeCi9-BU`Kqh@|1oj?iC^72bR?yVWLI#e^vn&^10trIt51jjx>Ax@Pp4_VCyGP$pf+Yq3m+mZnC_*GhXJ0oe3{I)u?myLP=^6+H}RenN4c_C<7 zrg2tum!kSR`WfiJGjh2-aHQZ`ez~KLjL$u#z(&7M8cfFMJ=&Qng40jC6PVNR;7~dT zIfm+E(2tLq<*4+eZe?)hVs@>YqjZod>QYJYAlc z-KFU9rtE{lz3cWP#8G^Jdd2i~fFPe!yfWQA#NNpsJ(~ta+t5x&(0#{Koqa<<9|AtA zHTt4k?<>zw0sG8c|rH-inKKJQ=w#T2;Wgm*nOmr z|MVfsZf}0%<25e|hH9ZE!C%nMd(C=<0ziI004weTmd&W&D!JlY1qp^$)tOJ&$3LeOnYQmF5`3l0ljgS z{A-GbsMWrVn74pan7rg``xXYa4(x#6&_?79ek>>0mdfoQd&?8K%_pZypzo_|%GfYD z9?S0<)RcbHtr}#+bQ3i?k$azK0{|bgES7mVg3?$zpQq8#c-c^W~ejtULOcWty32Ia&e#C1f$0Ks*i2)!!rx3l+a(&O^0AgEWvltDqJs~TuhJ$)qmg!e!jRu< zLtW$9MBPRYeJJHaJ~cMJYas@8pX*_em*_al)2L9RV}Z0{ zgqe;x4C371(%hmszxuAJqr_b%Vx}m?q9Qji>fhi?`rfiA$MmM(X^?cC7X7~D&-m0x zSo1b@CAN#87;(N&KKu0rV&^Lx%x#VOO_3yPZ0waupKS%}ZUP;OdIR-JPB-T|N=hYa zpEh1oH|`=;dgQ=KDd5{A^DP&hY@zO`kUw8#7i4NApjMrZVt_hXEnVY<-IzuVB*5e_jQ!`dUq)Tm+1^|cPX}ORK(21i6ArX=&)9$ z@`2=odAe0P6CA-5nRgRt?wq5{995(eoPPIjq8TN`fqZw`^*}2D(>I*BxhlD=;|GHoq1t|5dtKk$ zZDF0T>l|!6UrtbYboK4vV(<^iGB-`*x)tg+f7e%6P&D@E)BmRndT5RpQ`!euBkZQ4 ztU92Gdm#v^Xl|^fe_1cJptQOPqN|hudOY4OrSgo73gFMF0nq{?4+-%*JfSnL`oNm* zmZ@2P_X8NisaQo>Z7h-*NqII^;Vpkxxt+)05wh=I$I&y#agBoOwp47M@~=LMbpvTF zSk=1QeaG99jb@s6JA6L~V?+$=*$JB?NpE*bQ~vi8JCH= zKMbhLG^=nt==bf7UX&Y?%&QOrQ%=q5e$RZ4LascoN(6TT)a%G9A;8_x;m=pPqLvV$ zpn5xIXD6%RT~tT?nYvdH_Jg2wpL0p)!WR1%YOR^m%}m9eZf9#L8I2$>7oo@{^Sd&zmijzW5v;e{ph z%fDh8?K0Q=4vyVtyyr+S?k;6gF$t_reYy&$)_PI|aqp{Xvd&)s%5Y7#Y3r^imeD+D z{}QS@WdEQI5E6KPcqY$$RVT*w-Xe7kYWT{#wUwHS)c53RsS3?bvH}_z+k;tmxn8$$ z-5to*zxy-%8_maU-nKh4NZY9Uy}cNs7kT<*3t zcUS*d=r!Clv*abg|Dv@)s-JbFfkIJSToi+qGWm~UCp-V59w){xaKZ>2B3wEEU6)T+? z>Y`*Y=z?>t_4Ao&02+%N{z%I;d{IvTTT<420T7f7L^jo>u02!#`-wIjNNpJ&hx&yx znRguWUBB~ILir6FbPa&`{TrO()~(phcl~*MGzpY}fcb;Jo^z-Ppxb}l}}{~2i?z;ApSB1U)U;{h*@0amo@yzT}A0aQHwacs6UCAI2%ioC(`-g zK;I1u&e%z!tT{?TCzd2Xp$yPOV@vc9QkY2y&URRkDC^DjkY9Pswt{aQ$ZNzeD+TN> zUGn+Z2?vm*BtY0_T$ZJ7!7uj%jQ-y7p3`2$KoXro)TsiIO#{fibs*hcS#sCp{dN&|X zD#-&6m2PD{6t*%XV+ZKUvJw9x2oUYNPP30u16VZ9B(|BYwG|-R9GHM-B&*#?A(2%& zHL5_z0x>BShx&Mltl!Rp?A{v$bG7kP(5+jyHpcESu-0*3)#LuY*rG434XUwI1bJbw zS!_qn;C=*A*Zvn!&9DOO2o%D=tD=?%#rU3`1VXh7by``H7_MXiDXg))1d|$5$aj&X z*b!0-u9+ZtwC?s}!-Dk0+1IiIg*Uc%0-K*F=TxZ!c;5r0xD|ROy&1!7?mr4`gwj99ugi-b7$bOZYjVhy~MU` zpznjj8`ruQ038oJJR_9ciKw+M3UhcG#}7!X;}P0%E+;^uE+r(s2-b5|#Q+p#Z_kHc z>CT*aYBcuQ%q_1BY~Xa{kZ138))?@WxG`p46}ZWefC|v5aU5d0+0I&oMb|vOZ7R&X~omm zOO#gIcOBqt(}oRmjzRl4!|bme5E=XPqF-tBd}XscNsY*dU~PsgH<7~`mw0lH|1#NLb} zs?%YIF|wq2CoRoIyFB8{dYZ4a;Z?vFO;e1OQv#!@qI}(%v`eLcU>eLe^gyfl42q0Klz4$()l1;ONT8MmiJ1s6+rUzUEWh(*Pi#MgUf45=bcK8teh5 zOd;%`>Nd19>g+O*0bn)Z8(n8)WV9Ef7i!TK%p;}&oOa9E%)6p!)(5i^tD_6yhp#t> zOy%&)i7qvBYs-I+M2yO*O6019nDugn`Vh?bZ-!^V@?F;fy*T=TI31u;u* z$nHU^7h7KgS>N2@T2^XC)E2=c38ylr_qXIXCjORkJ12#|h4%SgcZiiC{yqaoE2-Zg zPRxDqKq1ELC22~B-#@Sb*)~?8N27-J&!DG!ujE<6fdT#e{z1CdqG@;r(8naz6-h>l zCmnvvGHX~NN`v1wI`ZO|+B1JIpFFD3*RH_a29YIYYgX=80{V8b8yLP|_4vYjjDR$n zs9^`(VP9NjO{3c{EuG>QB%X6V@Uh{*Tp5g`PLUiXiu$wqgr2wBF2!Z5hXIg4J9-{h zK;lB2Vt(SDSFcV?q|S<&`{!56Cyg>VVaT<|X>2zvF`yx#$*nu$Hq7vh4T1t;BjqUu zamLPLz-K4!cWm@1URC#Nf%$VsvGw81%b6C`D`*@Lx|4l%)Jr0fSnahazU*a{id<5Y7 z6>u0nookYQ3(f8!r^m3)&Q3s|YaQz~Uw^MrlF_29I(zJn1PA%U>t^+$HS~h*T-1g2 zX;I6{Wg%;)@QnuR7DfDWH`hiJ?*A+AEaRg3+ILS3t;EoX$<+z?O0)~ z;=+nMI37y{95FN5F52^-{+(lQc(B@Ia%Egp-pJz7eft63B%g@z~dI*p^dr3S~^td~0%PoINU zP(}MiIO9x1zDa~f3#H{d{|F#*vEPqou0({NHT@c=m7e5RZY0pyOS|8Z z@5y3)z0v$(wz#3r|F6|_+XfbA0s|Le*QjvH@*1^CuYfThQ54Cl@;-6X(wA}~7sE2F zE6S3wf_|(@bD`@UA=$M)8%%t;BBJ89mxR=v<+n4|;JoAi4Eqz#mRk7A-A|19Ax-;&ckv z`6Bh8UFkMThq!F>_vSujZvrI&*eV(mi{yL%k})p>M_4WME;z15k=%jjCM1p%bRTqbagjIrC>2cc!mK#q`niwLvDEGaD&${b98nTpxL z4_w{o0Pp+|lDpL4Uh~TRQ+|E>x)VKFWyTKhUFX@xGPc$A;auKo-4993%mB_qI&NwK z-=~U+Mhh1@$l~W8q*kl4$g}$@Rv1>nP|lN;nT7W_)l&&WFOD+?Rt6AA3j64tUPSlk zmd&y#6#5avN-Ob}!2$lkr`HnRn>uryq0DaInyI6*fvLwPn|;^+HvE&Zt(C%A>GXO~3uw9G?D5Rul$tdN?At{PQOX zalPyKE24A(i`X2=$HcVQ$0NzCxX39n-T$xvpVD}rf}Pyvc3rOJykvAj^2rf4@1vg$CC~}uKwD!JG4<%XKwsBSYoOgC z`iWyg79(*NKq4E%xs>japh+g7g?;tSf3m-#JhpN2>l>P{_HFm^Zh|QsC{QG*y#9w! z)P6>Ez2HDf5zZyeM*Xl5Gm@(A586MrzJn3Dt9Z}>5-9;vfsa@m?4{SiUr+{@>C!)N zc^7cpvo@F!<=S(b*&qV6nawP8=_>B=z()XzS;OyC6grzbwWWv-6jW@%N@BHA*Vwn~ zR-Hk;HJz8Sl()2E;G>Wz`ylOb^PV^3>TfxY=!q>K%u8%qghs5y(~Hw1ZO$6tj^a61l}AB={mWAy!O&S z!wUi^%f@6;_v#4?x#<#H8yQz}GU>ze6E%lCz&8=|T%G@34E+v@hRdwE@-)XqSf`-m zIlC&GO;043#hb=jS+yE@0n{I5o<`xlFYxO8sO!RbpeE2k-e1a%!t>~f zV$L-33!W>l!)60mXuPeh>W>Jd#a;h9-=QuqzJ@(r2OTC79#@TzL7^+emd?*K;W_=u zPC~b!HcacCf6jy^{I1qo^sG<{OtCB?YK#IrA}REchGb$`5s-YX_P-7IJ+fP#IW!v9 zdjI`iBDk>>-ek~$L+dGSf4A!zA&ku2+i<=0k?qQ#xT*j1r%25kZ`@|G#s})r3#7(+ z%5xO@kM{?-G!zTn*!w;v#lFPj3oH`ZuUWu6g_N#DB)NP4~50HTg@kv-_Gon5MT> zzsWkPtNug;2|Q1+f7SFp^~+?(tHbH(j3FNfPr}Dm&67>Ep?HVWaY^s~UccR`lqaXL zu6XPfrO@utyr38${=;PN_ZP#^hR~e{f5qN*qz-fj9AcYyJR#Y3A6@qR&T*s|#!qx+ z^9#jW$I+eAI24!$8uIW(f)*&EYgYC}C>u2TbOyC7Qc$Hhb@@xWf%9fQe@C7ac)MtS zy}O$Y(r&o!QQ!fz=#|*qd5`E8Dz`>@tAOi=!w$V>p$6Y9NXF;MKX3m9aj+K<%n^gS za4*(+|1cVbw$blKZ^nb`bFnwp8kNCy&8God+n|yR4%J$Zc?97VvsQ$$Ro~D4_*v`COn?Um^>F+K#`^PoMuRFrm@n_%?0^Vm%QJgM_a`He_41m(Ly+F4Nl$e z-p!o0y@BHKGtt3tiMn=ko`|`X9R)Z}^(p&aZ0FpQKmJ0n_VEoBKra~dgYw@>K8WOm zvccWdVxHR+nlFNh1ugO4n2&FpwAVS^^HHS4^JOIxY}V`n&VYIK;|3z~x0->y++Qqx z>Hv2{%B}4T2lq;6teYV9upeiUBpOp|lkoY4T*0dXdkitCf!gvhWubq?x%|k%Dqbt! z#^L#Ob%i&vGxbL~Yk_-L&9JqoQ9|6K98mA)j} zQ~2D3=VrZ=R%u1AxO-=BPa3UaB0_tL7WKaGRW*H8Es({diC4?6CxD8; zEq9u4t|*;wjxea7u4}LLb@Qqa!D1>#DIA5~sfihcg0^1NwOH;@ z3F7jIw^oF^Q)|-cPjg_RmEmeEMHG0TNAtgD{sxd289gI$ZzXY_q(lPNrfYGL*<-Pz zNg+kr*B!uyFd+T;K5jjlvGl}@c0RVewuv!%*%wj8rV5W&p#mkjD)#6{p(fm%SWa+f zosf%@=<4D(vr0T&xN4F@XN5Mb0POW#rj4bx1J2d=vhNU$+hmFZu*5deyv@s>?}yM0 zln`8Zj|#&wRAkL7-c?fKyEhqHbtKwtNKexl-gc9HAepBalf^P{;m3gM6g za*e@XX(+Vi?26A_3T$OWIHAH~M{tBO*~9R!MXF@U4#?_1XJ@tmVz~2`1BeQuMp>c{ zR$qI)-Ec$@sjw2~DZo=176ki()1^a6Q5!xP)Al2!ZqTh$NrmXx zcsr*n8n&4F0Cgi(;FtjLUI}1|5sFcOvG?VVs|miBW+!(3OhKXPm+2$Lhp4}%>v>;V zh3ea-_?e<;>S|W48=oSAS|1aQOkJb+sjQVw^r+&bF4p1y5|sEV9=_oKn?TB^Ba(T; z=B}NHdP%1WTFFkZDv`z@xZKp$o{qPD9v=bu-n4znS5{3Z1#9^*4a;nC>DS?xU*Zsv z%3SUMeTYSta~pB2vU>A|!Ex*|fmfhmDQKBWp%Wj*hk7CU0M2DRmZu_s2G2N(PN6#y zu%SAu&B>&%^9N3TebsJq8Ob}^c12)3*L&=|H(kxHK(+S_N!L{FwcbFD-rpT>S|v4Y z+dvD=EW2A{$eUk-0UhNYI5j_AVD>15m$Jd0%jk=;@Qd?vg>5$gRlX4S$WsiothayG z54+9q3^Bkx=v-g!hD!d{X*jY5!Eu3VIBf)$yB1hpk~$>JV(DLftTX%TwIA#wwyxGL z#L;Ti_zbGQfG?Auk8+`kp?m8soh?AnjBq~2-*1l(lOo(yhcj|8HX0OTKi}$FnKg-j zvKJi{J!bEK*|RXS0XWKo__E)JVi6F@D*kdH!uODVvWz=sd>p(c!zD&O5|%yVpQtqXvb^ueP}<+^iu$WrmNzwY^~J{TDETWBm_NnEP6TZHz&(Sa8cZwx z4=FE0NQ{>sJC0N~310{14Z`8N6*}N~fyeR}ZWg(z z!^QS)k_w35a}+ZXNjAO_3|t3{j!N=?Td0Vm^7aGuAoQD#-&Azju{Mok?D@~sbi5zx z#U=Ju-X}}J`#j{2Z2hCkdEeB(;GAOI=kr@Gt^F{g*WOTHeo<_L=|Zq-(I3}nh@f=- zW`8=&#DiWVcNCSvN&U@eqJS(IXO3@kb2YJ5z}d}AnA8z_#!~X8jNgxBW{DF*+y0|^ z6LOdET|CjPT8o%f@lG6`^s_v!63?|Xu7f~good|TAzhQSVGj>EP9janmH%6{d>J3+ z2BS)W4N|4%&FJA_VsBG$=U=5xxaLbTw&DM9pJMJ$yh-Bqt~Z`uM1Kf`ZS=;ARBkpC zi7OZrCGgxaO*;No{miFxVVBB2n2qRf;vDjXZvpI2RP|0L=@&Z^Y!%zDw7kJa9FLeL z=9%E(M3(D;-g*UZqX6=Or|-dY(vtY#-trxRzqQ8n*FL2wg2tZeSWKg&RJUja2qXDf zbl{*ujHKC?@%tDcZ^y^ahasQ*s!D>&_h0F~bWGTL!0_ZIexSowQ&s4$K;!+>gMMTe zMHs0L-()G#|Gdh?GKf9Tr(=qf^+C@Kzy5ZzPk6O}p0etLB1vvKJ%N}piy9d%a1KE{ zWh*2XFqc5m1e|O?;2=>avy2sFnZmYs&?p)!lK)<&@dd1{B1zN=RA^;7epZ8L2Nkpz z58WVFcli2KqYtvy05;g+)}DBag&d90A#zfGsrmF><5;-1_%$^1>A0*CA6JdSUY(Lq zjI&z59y1U1O;b|*H>}7>++u-iB0M}H=cj%r+nMAtUaJG>{{fv}&~GR`L>i}uKYOf2 z^s_rIBfQY8`SXIYknls=@bQYIpUoD^Pdfsu#OMdgg+i=tL@S?5!oVS+(f{u%+sRs9 ziazM1W#&Nx4jHTK3M_;u9lf3zc&PkW`X8gWDzHqh^F1OS|MkU2n;;mdluC07&aj99 zoFSwkN!FL~DN8y6QpOlSuuV&U*12E@=`3Pu9u|$f;X5cCyjz%K>-kBKucx;CXX`XN zdU-Q>6-kYx6j&Ovc%~J$KbV)qYZS;ogO|}E@yCA=h!bB)faL;&>dz5jX$T2D`0V5z znQr(}Wh~|Y4pL8NZn$A!WrJaQJlb^nO1igS)mFMM;BX%3{0FQhE$X-QH zzYAG_$iCQctq(B-*FE4`HWCJPRo zUEf*yAEC+!BQVO!GHjfOw=D{kWPfcfYfFFROi88tb@1NnM1c{3%7APD^GJF8zjZkvE}yGaV~*e`rG!gLVE^|IELH%5fd zVI2)FcqKgl;O(5JXdc*@Cjaa#(&t!MwC}#TLVrjgl7`SFHTO|>FNQ5ycXk@HG(F|` zDHTO0Wnareyxj9twM5HeR7HX2-{5YS5zDVHz^dAQ9~bzR3~{l=eCMk`H3D%My|v(!l~ zrFw6iJp}REMI73-YCfK-Gw0m|B98j4@G^(%4Rh`+t_oQb+#p}5!73H((R1~ZFWF&v zzM9^z%>m;_1G;2b(zFw1@1jZ%9o4YQk^*z0SlxG2mQRMAHEFdlV1uY{Uo8t#gO3&cRWyA)*RMR87!pO>p%f8wJ-1-{4U0tA*hTn{6 zN)dKd3@o=Fd8r^nVcmt7$B0bmhsHjERZ!LA&}czcqX8Ztmo(vNF^x;n$B``7otyOz z5q=`j!~?rUq+TNn2X9e@IGNh08uogZGTw&P8;&Dc&q6NFVj@lTyyX9glzz+{UCaBz zm~#vHPCQ}$`j*W{kxUY7B%H^fd^L4q97l6lKfqf*27IzNX@lLF5gF$A6MX)Z+ihoR z;w)UW3dY#U-9D@Fm5E!@m5&@*i2(MX1dJ}zW*2@w0#L0p|KUmb_DLsp6Ru2y0?vjU ziqqaA8SW#6Y0_W!#$((t4KO(b+M?QXh(# z8mmZB_j&cYQhmys03+nS?JVj%Edi4pjRV*({@(?_fI=9}JVCf8(DJX;jrKvI z!4n5qIk*$!#b@cn$SebEWM|`|vg&8wK5@PnvIV_d#axXYK*Q5vW(cH~fLPvRYBi`E zqQed*C-emovKnuTvCKPud)CjjGT}^;(i!YtYKI~cw-=o4Chq#BSq6Jk;fgu<=;Qy8 z;3QfcBjn`_SBoxwC-VmXW!}62?xoHKA+s2#EzJY_U{0uhkRsFmwkq<}u%4SB&BkkC z;{Dph{Z47->`S^Kg{Hz+K|VXX9Oc;;K_I*;J#{QNx9+hx_v(>t4np7Ex~t4A(3 z+o>A;zMr(%%gi(&8hKfCxtUx(mV3*zg+R?vvL1#-mFuB}L!(a@@1rQ=SM~s-RylmE z($z#58F;!^pf%+}L;H4#YDyRkZCmf{K?_ka{}~nnoNm5@#~G7k#5-- zeuaJ6{obA*7RRD(J)56TM$G-<*$fO<`Ud5HiiRBW=G&Gr=VVTn)d4vWJ(3Cx)E9bg ze2pk55g@Pp&W}1~qI0M&J%p1200>WhEZ<2z%b-T*iy&&op&Z)N+GH&ft$kiEeL$@yqq8A)7Z3WV zlirFi-Hclg3d1?h1xyRtKh}XWf5zZeBBFaOKT8ekUsGaTh@q!re$QT)rQs61;x8^$ zC}&DcNTK6CxveltD3ZaP69$g?z{z;a4L*cszHzK>zU@7yVYxf0jZ``&5>~{cR|(^D zQ3&AFt8`CZ^KxFxTE0u3Qd7*luz66rpE#5i#a}vAR`a>qtm`UeeipMJ~i(R z1gbgxI)Me66kzU$LFPWt1Mp=8EB|w?J){|xK$iS{vEA1|LRtc&GWMH+dhjow#q$M1 zGgpcl*sug?Pha10_(Y{UR|YhCiNF)S=<7G40f52gG@JuGVtf#a3IkexKG^P!n_Q?@ zdY?srR7#=aP}V8nKM&T2;z07r1_VrvTikDsmuTHqSkVxf&>r}k^g4rR zSDs8@vRr70QY;k_(BPGT)w%Tjdj!BMT-!YIzTJbcmH)oA(MZHAz;ED@&`?cA0#k7r zB)z&JNgr@l)*wEXj~Sl;nNmSMVkk3+u(>?{<2+qkFxa&e1^7cCk^dZxkp?BFR8^}P zz>?2^0@+MT99-Nej%Dz#DEyjj+TR>wKR@~AvANhO7xHI~7Qh&My?Kze4p0hW49KV{ z+k<8!Dfp1VhDCmd*+psV^CXa(@7b*2G1VvSiy$Q|gSGXQ1ZS5?)bM@iirngI#)r22??QM(8*k3!Owg3C)mH|!ru(-!F zLHD`#1mwH7rMFu|Qh;uWFT>>O2t6Vw%n25^4&;O>y40{^K_So}Km_v!IIF16)I0PY z0ypV9h(DnjUukB-MVy9vK~zPj-X3-I>lpZBSt zfSnEF*ij?|cDIo+`CH$IRm3dgf7ba=`i^h32)*(!GFX4&2nT&-e!tyNd>9BU0&j1{ zXe(FRH~Fr=7XT#i<*v>fBvQGI>p;9u?S)W*M5#&wLsUAy*~)I`6-{sW2c4oW6)P*N zND^AD7VOtmouL{m7-qOxfI@%no^WC*XVtpj00G!eqx~;UN#1yWQ_%eE;OwW2ZoSn<4 zobHm#lu%@y|3mdV0x$;c+C+^BUMLkWSECo;9-{LQ zkt}P$(C9HkNIzck9=_NF5+O2|Z2>no1k6=B<|N0Of;AYWeef@yCQv@UQ)WbY>|zL} z@A1|-zBk+-PUF#~bIZCDmSJQM%czm>n4=R8;UQ&`Oaz0RlpH~0Kifx z2p1eAJZHv!io!{_I#?swS?o*#%NZMCISam9oC96jau<=nbT?%llVdWfu~Y_h0jzCl zoe@XOWugnjxo=fUd9S6aO?3qS9c_E;v`>;$ojUGRU;^KyHwhRRuLbz^qg*i-7Wvj& z_}3XCK^pv-F`O+3C{wkTzCK#EZmNMi|6nYMFQwu>t!Wgv5Ahgx`oCo%{ADJpAa9*7 z5#*%B2m+a(!oWZm*3boWE~_^ZG=f$Ut&i-A!0;32(RxbT4>1Cy+jh4`th>hzrW^b@ zUVkJn+wXqI&TypIaXPhSdEK3%@5S@-)~bYUu6l zIplp9xGj$ASn$odp&Uy^(Ydgh?=e+<6S&QSKi!?byuQx$#0CVhA^48;rc@-Oq*n}Y zIaN@=-V%~k&cdSjl%jZt_?|ewNZYNH$lhn|W1Xr{!%f{5n@ZVnemj(kBIfvp6pgT* zO8;(Ks4^Ib_sH|FsnWBRsKDRMw!c19aT#*SZHfKv#$WVT%aWkz2)T&Gq-_w zx0YR{J2Q4(VhnnRHM1KC#me=&>eGH!?vOkYZ#~HFbrr!`gm&K*ad2xSPy~$d zHo`Trk^32nMT3l^fz2x!M8$1{0rpqrDL&WkPf0?CZTyP+ZF~$0{hK^*@24c0Zd zDcg5;{Bx`$IB+>VpAPTGFMLj-522_{asiV{a-MWv?x4ST>W1B|%NNPKs!v$Y!MJn^ zF!b|o&=Cwwe~G->xxV5^K@mIumyFdQ)*eha6ns)?77ONK#|i`L0-~UW?H1rR#arKo zRD-l=aL(4WgNvUA#F5JARstl&vMgt_CE&twTiQ?GnB~>MyTB_ZJPm_B%uGu1e!q9m zL0!N+ClzlFbDUA7g9duvN<4iu0(vZ74o0Oq$T`jeGmemukd z0r)QoL_UF$Z4t>ZOwIifWDF&kquAsYEAuzW`fRRmdQ)0EnQSl!7^hRx1LJRQLA_M9 z5dbE@EC2<@11dswaScFHvbjsA@)~Kik^wr>b|^)@h5nBF99Jb-D*P>I0D2gZ32-yr ztY2Uj@<)vPD#SrVs}82jUoVcO{qWM^id?ENn?!b=0HUy0b^-GLeh+(InY`|wF){)5 zr{@qZBR`U;mMv9G$h0ly`O2W*ElW(k=*`!@dxXTX?LL32{nGdQnT109LYH~+zY!^M zmKzBqaUQ!6-d1omTh9|Jlw0XbU{^!ycO24QA%70P>#HJSqq3M4Qyo+2B*+v*p10=Q z>fT$Fpc$QqS{i%?&ig`ZxoUu@NjBr8n%)G%6)qdTmX3fOR=%w9$ETv1>=I1m=#dI` zc+2jBD!`O_k$i60*x`PMC;aeUG6qWdYT`4F-CPz~+rD_#XmV7(8QBoS#0M}rE-Ff4 zmWy0iZ&eKpBf@z4&%e9E0wcf`3L}XW<^nK@?0*L4DcWCp%NDgKfltMiWi5FG8LF59e