diff --git a/.github/workflows/test.yml b/.github/workflows/test.yml index 84fc3ac..ef0f8c4 100644 --- a/.github/workflows/test.yml +++ b/.github/workflows/test.yml @@ -23,7 +23,26 @@ jobs: jvm: adopt:11 apps: sbt mill - name: Install Verilator - run: sudo apt install verilator + run: | + # Prerequisites: + sudo apt install git help2man perl python3 make autoconf g++ flex bison ccache + sudo apt install libgoogle-perftools-dev numactl perl-doc + sudo apt install libfl2 # Ubuntu only (ignore if gives error) + sudo apt install libfl-dev # Ubuntu only (ignore if gives error) + sudo apt install zlibc zlib1g zlib1g-dev # Ubuntu only (ignore if gives error) + + git clone https://github.com/verilator/verilator + + # Every time you need to build: + unsetenv VERILATOR_ROOT # For csh; ignore error if on bash + unset VERILATOR_ROOT # For bash + cd verilator + git checkout v5.012 + + autoconf + ./configure + make + sudo make install - name: SBT Test run: sbt test - name: mill Test