From d1d88dd4154964f413ea4cf975300be980c39c0c Mon Sep 17 00:00:00 2001 From: Schuyler Eldridge Date: Wed, 31 Jan 2024 14:27:20 -0500 Subject: [PATCH] fixup! Bump to Chisel 6, migrate to svsim --- .github/workflows/test.yml | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/.github/workflows/test.yml b/.github/workflows/test.yml index ef0f8c4..677d250 100644 --- a/.github/workflows/test.yml +++ b/.github/workflows/test.yml @@ -25,11 +25,11 @@ jobs: - name: Install Verilator run: | # Prerequisites: - sudo apt install git help2man perl python3 make autoconf g++ flex bison ccache - sudo apt install libgoogle-perftools-dev numactl perl-doc - sudo apt install libfl2 # Ubuntu only (ignore if gives error) - sudo apt install libfl-dev # Ubuntu only (ignore if gives error) - sudo apt install zlibc zlib1g zlib1g-dev # Ubuntu only (ignore if gives error) + sudo apt-get install git help2man perl python3 make autoconf g++ flex bison ccache + sudo apt-get install libgoogle-perftools-dev numactl perl-doc + sudo apt-get install libfl2 # Ubuntu only (ignore if gives error) + sudo apt-get install libfl-dev # Ubuntu only (ignore if gives error) + sudo apt-get install zlibc zlib1g zlib1g-dev # Ubuntu only (ignore if gives error) git clone https://github.com/verilator/verilator