From c905fc394063c9a2e4b101560f973695af3c2482 Mon Sep 17 00:00:00 2001 From: mbtaylor1982 Date: Sun, 3 Nov 2024 09:40:10 +0000 Subject: [PATCH] removed unnecessary IP files --- Quartus/IP/atpll.bsf | 107 ----------- Quartus/IP/atpll.cmp | 26 --- Quartus/IP/atpll.cnx | 230 ------------------------ Quartus/IP/atpll.inc | 27 --- Quartus/IP/atpll.ppf | 13 -- Quartus/IP/atpll.qip | 9 - Quartus/IP/atpll.v | 377 --------------------------------------- Quartus/IP/atpll_bb.v | 256 -------------------------- Quartus/IP/atpll_inst.v | 8 - Quartus/IP/attpll.bsf | 108 ----------- Quartus/IP/attpll.ppf | 13 -- Quartus/IP/attpll_bb.v | 257 -------------------------- Quartus/IP/attpll_inst.v | 8 - Quartus/RESDMAC.qpf | 4 +- Quartus/RESDMAC.qsf | 3 +- Quartus/RESDMAC.qws | Bin 1515 -> 1511 bytes 16 files changed, 4 insertions(+), 1442 deletions(-) delete mode 100644 Quartus/IP/atpll.bsf delete mode 100644 Quartus/IP/atpll.cmp delete mode 100644 Quartus/IP/atpll.cnx delete mode 100644 Quartus/IP/atpll.inc delete mode 100644 Quartus/IP/atpll.ppf delete mode 100644 Quartus/IP/atpll.qip delete mode 100644 Quartus/IP/atpll.v delete mode 100644 Quartus/IP/atpll_bb.v delete mode 100644 Quartus/IP/atpll_inst.v delete mode 100644 Quartus/IP/attpll.bsf delete mode 100644 Quartus/IP/attpll.ppf delete mode 100644 Quartus/IP/attpll_bb.v delete mode 100644 Quartus/IP/attpll_inst.v diff --git a/Quartus/IP/atpll.bsf b/Quartus/IP/atpll.bsf deleted file mode 100644 index a4c9c8f..0000000 --- a/Quartus/IP/atpll.bsf +++ /dev/null @@ -1,107 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. -*/ -(header "symbol" (version "1.2")) -(symbol - (rect 0 0 312 208) - (text "atpll" (rect 142 0 170 16)(font "Arial" (font_size 10))) - (text "inst" (rect 8 192 25 204)(font "Arial" )) - (port - (pt 0 72) - (input) - (text "inclk0" (rect 0 0 31 14)(font "Arial" (font_size 8))) - (text "inclk0" (rect 4 56 36 71)(font "Arial" (font_size 8))) - (line (pt 0 72)(pt 56 72)) - ) - (port - (pt 0 96) - (input) - (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) - (text "areset" (rect 4 80 38 95)(font "Arial" (font_size 8))) - (line (pt 0 96)(pt 56 96)) - ) - (port - (pt 312 72) - (output) - (text "c0" (rect 0 0 14 14)(font "Arial" (font_size 8))) - (text "c0" (rect 294 56 306 71)(font "Arial" (font_size 8))) - ) - (port - (pt 312 96) - (output) - (text "c1" (rect 0 0 14 14)(font "Arial" (font_size 8))) - (text "c1" (rect 294 80 304 95)(font "Arial" (font_size 8))) - ) - (port - (pt 312 120) - (output) - (text "c2" (rect 0 0 14 14)(font "Arial" (font_size 8))) - (text "c2" (rect 294 104 306 119)(font "Arial" (font_size 8))) - ) - (port - (pt 312 144) - (output) - (text "locked" (rect 0 0 36 14)(font "Arial" (font_size 8))) - (text "locked" (rect 270 128 306 143)(font "Arial" (font_size 8))) - ) - (drawing - (text "Cyclone II" (rect 241 188 533 390)(font "Arial" )) - (text "inclk0 frequency: 25.000 MHz" (rect 66 66 289 146)(font "Arial" )) - (text "Operation Mode: Normal" (rect 66 82 264 178)(font "Arial" )) - (text "Clk " (rect 67 107 152 228)(font "Arial" )) - (text "Ratio" (rect 92 107 211 228)(font "Arial" )) - (text "Ph (dg)" (rect 125 107 288 228)(font "Arial" )) - (text "DC (%)" (rect 169 107 376 228)(font "Arial" )) - (text "c0" (rect 71 124 153 262)(font "Arial" )) - (text "1/1" (rect 98 124 209 262)(font "Arial" )) - (text "45.00" (rect 130 124 289 262)(font "Arial" )) - (text "50.00" (rect 174 124 377 262)(font "Arial" )) - (text "c1" (rect 71 141 151 296)(font "Arial" )) - (text "1/1" (rect 98 141 209 296)(font "Arial" )) - (text "90.00" (rect 130 141 289 296)(font "Arial" )) - (text "50.00" (rect 174 141 377 296)(font "Arial" )) - (text "c2" (rect 71 158 153 330)(font "Arial" )) - (text "1/1" (rect 98 158 209 330)(font "Arial" )) - (text "135.00" (rect 126 158 288 330)(font "Arial" )) - (text "50.00" (rect 174 158 377 330)(font "Arial" )) - (line (pt 0 0)(pt 313 0)) - (line (pt 313 0)(pt 313 209)) - (line (pt 0 209)(pt 313 209)) - (line (pt 0 0)(pt 0 209)) - (line (pt 64 105)(pt 210 105)) - (line (pt 64 121)(pt 210 121)) - (line (pt 64 138)(pt 210 138)) - (line (pt 64 155)(pt 210 155)) - (line (pt 64 172)(pt 210 172)) - (line (pt 64 105)(pt 64 172)) - (line (pt 89 105)(pt 89 172)(line_width 3)) - (line (pt 122 105)(pt 122 172)(line_width 3)) - (line (pt 166 105)(pt 166 172)(line_width 3)) - (line (pt 209 105)(pt 209 172)) - (line (pt 56 56)(pt 255 56)) - (line (pt 255 56)(pt 255 191)) - (line (pt 56 191)(pt 255 191)) - (line (pt 56 56)(pt 56 191)) - (line (pt 311 72)(pt 255 72)) - (line (pt 311 96)(pt 255 96)) - (line (pt 311 120)(pt 255 120)) - (line (pt 311 144)(pt 255 144)) - ) -) diff --git a/Quartus/IP/atpll.cmp b/Quartus/IP/atpll.cmp deleted file mode 100644 index d9dc401..0000000 --- a/Quartus/IP/atpll.cmp +++ /dev/null @@ -1,26 +0,0 @@ ---Copyright (C) 1991-2013 Altera Corporation ---Your use of Altera Corporation's design tools, logic functions ---and other software and tools, and its AMPP partner logic ---functions, and any output files from any of the foregoing ---(including device programming or simulation files), and any ---associated documentation or information are expressly subject ---to the terms and conditions of the Altera Program License ---Subscription Agreement, Altera MegaCore Function License ---Agreement, or other applicable license agreement, including, ---without limitation, that your use is for the sole purpose of ---programming logic devices manufactured by Altera and sold by ---Altera or its authorized distributors. Please refer to the ---applicable agreement for further details. - - -component atpll - PORT - ( - areset : IN STD_LOGIC := '0'; - inclk0 : IN STD_LOGIC := '0'; - c0 : OUT STD_LOGIC ; - c1 : OUT STD_LOGIC ; - c2 : OUT STD_LOGIC ; - locked : OUT STD_LOGIC - ); -end component; diff --git a/Quartus/IP/atpll.cnx b/Quartus/IP/atpll.cnx deleted file mode 100644 index 48a697e..0000000 --- a/Quartus/IP/atpll.cnx +++ /dev/null @@ -1,230 +0,0 @@ -VERSION: WM1.0 -MODULE: altpll -PRIVATE: ACTIVECLK_CHECK STRING "0" -PRIVATE: BANDWIDTH STRING "1.000" -PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" -PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -PRIVATE: BANDWIDTH_PRESET STRING "Low" -PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" -PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -PRIVATE: CLKLOSS_CHECK STRING "0" -PRIVATE: CLKSWITCH_CHECK STRING "1" -PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -PRIVATE: CREATE_INCLK1_CHECK STRING "0" -PRIVATE: CUR_DEDICATED_CLK STRING "c0" -PRIVATE: CUR_FBIN_CLK STRING "c0" -PRIVATE: DEVICE_SPEED_GRADE STRING "8" -PRIVATE: DIV_FACTOR0 NUMERIC "1" -PRIVATE: DIV_FACTOR1 NUMERIC "1" -PRIVATE: DIV_FACTOR2 NUMERIC "1" -PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -PRIVATE: DUTY_CYCLE1 STRING "50.00000000" -PRIVATE: DUTY_CYCLE2 STRING "50.00000000" -PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "25.000000" -PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "25.000000" -PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "25.000000" -PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1" -PRIVATE: GLOCKED_MODE_CHECK STRING "0" -PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -PRIVATE: INCLK0_FREQ_EDIT STRING "25.000" -PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" -PRIVATE: LONG_SCAN_RADIO STRING "1" -PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" -PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "deg" -PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -PRIVATE: MIRROR_CLK0 STRING "0" -PRIVATE: MIRROR_CLK1 STRING "0" -PRIVATE: MIRROR_CLK2 STRING "0" -PRIVATE: MULT_FACTOR0 NUMERIC "1" -PRIVATE: MULT_FACTOR1 NUMERIC "1" -PRIVATE: MULT_FACTOR2 NUMERIC "1" -PRIVATE: NORMAL_MODE_RADIO STRING "1" -PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" -PRIVATE: OUTPUT_FREQ1 STRING "100.00000000" -PRIVATE: OUTPUT_FREQ2 STRING "100.00000000" -PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" -PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" -PRIVATE: OUTPUT_FREQ_MODE2 STRING "0" -PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" -PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz" -PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0" -PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -PRIVATE: PHASE_SHIFT0 STRING "45.00000000" -PRIVATE: PHASE_SHIFT1 STRING "90.00000000" -PRIVATE: PHASE_SHIFT2 STRING "135.00000000" -PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" -PRIVATE: PHASE_SHIFT_UNIT2 STRING "deg" -PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -PRIVATE: PLL_ARESET_CHECK STRING "1" -PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -PRIVATE: PLL_ENA_CHECK STRING "0" -PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -PRIVATE: PLL_PFDENA_CHECK STRING "0" -PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -PRIVATE: RECONFIG_FILE STRING "atpll.mif" -PRIVATE: SACN_INPUTS_CHECK STRING "0" -PRIVATE: SCAN_FEATURE_ENABLED STRING "0" -PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -PRIVATE: SHORT_SCAN_RADIO STRING "0" -PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -PRIVATE: SPREAD_FREQ STRING "50.000" -PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -PRIVATE: SPREAD_PERCENT STRING "0.500" -PRIVATE: SPREAD_USE STRING "0" -PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -PRIVATE: STICKY_CLK0 STRING "1" -PRIVATE: STICKY_CLK1 STRING "1" -PRIVATE: STICKY_CLK2 STRING "1" -PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -PRIVATE: USE_CLK0 STRING "1" -PRIVATE: USE_CLK1 STRING "1" -PRIVATE: USE_CLK2 STRING "1" -PRIVATE: USE_CLKENA0 STRING "0" -PRIVATE: USE_CLKENA1 STRING "0" -PRIVATE: USE_CLKENA2 STRING "0" -PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -PRIVATE: ZERO_DELAY_RADIO STRING "0" -LIBRARY: altera_mf altera_mf.altera_mf_components.all -CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" -CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" -CONSTANT: CLK0_PHASE_SHIFT STRING "5000" -CONSTANT: CLK1_DIVIDE_BY NUMERIC "1" -CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" -CONSTANT: CLK1_MULTIPLY_BY NUMERIC "1" -CONSTANT: CLK1_PHASE_SHIFT STRING "10000" -CONSTANT: CLK2_DIVIDE_BY NUMERIC "1" -CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50" -CONSTANT: CLK2_MULTIPLY_BY NUMERIC "1" -CONSTANT: CLK2_PHASE_SHIFT STRING "15000" -CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -CONSTANT: GATE_LOCK_SIGNAL STRING "NO" -CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "40000" -CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5" -CONSTANT: LPM_TYPE STRING "altpll" -CONSTANT: OPERATION_MODE STRING "NORMAL" -CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -CONSTANT: PORT_ARESET STRING "PORT_USED" -CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -CONSTANT: PORT_INCLK0 STRING "PORT_USED" -CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -CONSTANT: PORT_LOCKED STRING "PORT_USED" -CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -CONSTANT: PORT_clk0 STRING "PORT_USED" -CONSTANT: PORT_clk1 STRING "PORT_USED" -CONSTANT: PORT_clk2 STRING "PORT_USED" -CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1" -USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]" -USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]" -USED_PORT: areset 0 0 0 0 INPUT GND "areset" -USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" -USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2" -USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" -CONNECT: @areset 0 0 0 0 areset 0 0 0 0 -CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -CONNECT: c1 0 0 0 0 @clk 0 0 1 1 -CONNECT: c2 0 0 0 0 @clk 0 0 1 2 -CONNECT: locked 0 0 0 0 @locked 0 0 0 0 -GEN_FILE: TYPE_NORMAL atpll.v TRUE -GEN_FILE: TYPE_NORMAL atpll.ppf TRUE -GEN_FILE: TYPE_NORMAL atpll.inc TRUE -GEN_FILE: TYPE_NORMAL atpll.cmp TRUE -GEN_FILE: TYPE_NORMAL atpll.bsf TRUE -GEN_FILE: TYPE_NORMAL atpll_inst.v TRUE -GEN_FILE: TYPE_NORMAL atpll_bb.v TRUE -LIB_FILE: altera_mf - -LICENSE_ID: "DEVICE_FAMILY_Cyclone 10 LP" 40422110B5564711226A -LICENSE_ID: "DEVICE_FAMILY_Cyclone IV E" 40422110A5564711226A -LICENSE_ID: "DEVICE_FAMILY_Cyclone V" 40422110X5564711226C -LICENSE_ID: "DEVICE_FAMILY_MAX V" 40422110L5564711226C -LICENSE_ID: "DEVICE_FAMILY_Arria II GX" 40422110V5564711226Q -LICENSE_ID: "DEVICE_FAMILY_Cyclone IV GX" 40422110B5564711226A -LICENSE_ID: "DEVICE_FAMILY_MAX II" 40422110O5564711226U -LICENSE_ID: "DEVICE_FAMILY_MAX 10" 40422110O5564711226U -LICENSE_ID: "FEATURE_STRATIXGX_DPA" 40422110P5564711226Q -LICENSE_ID: "FEATURE_STRATIXGX_BASIC" 40422110X5564711226C - - -SUPPORTED_DEVICE_FAMILY: "Cyclone 10 LP" -SUPPORTED_DEVICE_FAMILY: "Cyclone IV E" -SUPPORTED_DEVICE_FAMILY: "Arria II GX" -SUPPORTED_DEVICE_FAMILY: "Cyclone IV GX" -SUPPORTED_DEVICE_FAMILY: "MAX 10" -SUPPORTED_DEVICE_FAMILY: "Cyclone II" - -WIZARD_TITLE: "ALTPLL" -BROWSER_PATHNAME: "C:\Program Files (x86)\Microsoft\Edge\Application\msedge.exe" -QUARTUS_VERSION: "Version 22.1" -QUARTUS_SVERSION: "22.1std.2 Build 922 07/20/2023 SC Lite Edition:07/20/2023" -QUARTUS_BUILD_DATE: "07/20/2023" -ALTERA_COPYRIGHT: "Copyright (C) 2023 Intel Corporation. All rights reserved." - - -HELP_MENU_ITEM: FALSE "IUG$altpll Megafunction User Guide$http://www.altera.com/literature/ug/ug_altpll.pdf" -HELP_MENU_ITEM: FALSE "IUG$General-Purpose PLLs in Stratix (GX) Devices$http://www.altera.com/literature/hb/stx/ch_1_vol_2.pdf" -HELP_MENU_ITEM: FALSE "IUG$PLLs in Stratix II Devices$http://www.altera.com/literature/hb/stx2/stx2_sii52001.pdf" -HELP_MENU_ITEM: FALSE "IUG$Clock Networks and PLLs in Stratix III Devices$http://www.altera.com/literature/hb/stx3/stx3_siii51006.pdf " -HELP_MENU_ITEM: FALSE "IUG$PLLs in Cyclone II Devices$http://www.altera.com/literature/hb/cyc2/cyc2_cii51007.pdf" diff --git a/Quartus/IP/atpll.inc b/Quartus/IP/atpll.inc deleted file mode 100644 index 193b44c..0000000 --- a/Quartus/IP/atpll.inc +++ /dev/null @@ -1,27 +0,0 @@ ---Copyright (C) 1991-2013 Altera Corporation ---Your use of Altera Corporation's design tools, logic functions ---and other software and tools, and its AMPP partner logic ---functions, and any output files from any of the foregoing ---(including device programming or simulation files), and any ---associated documentation or information are expressly subject ---to the terms and conditions of the Altera Program License ---Subscription Agreement, Altera MegaCore Function License ---Agreement, or other applicable license agreement, including, ---without limitation, that your use is for the sole purpose of ---programming logic devices manufactured by Altera and sold by ---Altera or its authorized distributors. Please refer to the ---applicable agreement for further details. - - -FUNCTION atpll -( - areset, - inclk0 -) - -RETURNS ( - c0, - c1, - c2, - locked -); diff --git a/Quartus/IP/atpll.ppf b/Quartus/IP/atpll.ppf deleted file mode 100644 index 1b61973..0000000 --- a/Quartus/IP/atpll.ppf +++ /dev/null @@ -1,13 +0,0 @@ - - - - - - - - - - - - - diff --git a/Quartus/IP/atpll.qip b/Quartus/IP/atpll.qip deleted file mode 100644 index 2e11f65..0000000 --- a/Quartus/IP/atpll.qip +++ /dev/null @@ -1,9 +0,0 @@ -set_global_assignment -name IP_TOOL_NAME "ALTPLL" -set_global_assignment -name IP_TOOL_VERSION "13.0" -set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "atpll.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "atpll.bsf"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "atpll_inst.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "atpll_bb.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "atpll.inc"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "atpll.cmp"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "atpll.ppf"] diff --git a/Quartus/IP/atpll.v b/Quartus/IP/atpll.v deleted file mode 100644 index 208ea9a..0000000 --- a/Quartus/IP/atpll.v +++ /dev/null @@ -1,377 +0,0 @@ -// megafunction wizard: %ALTPLL% -// GENERATION: STANDARD -// VERSION: WM1.0 -// MODULE: altpll - -// ============================================================ -// File Name: atpll.v -// Megafunction Name(s): -// altpll -// -// Simulation Library Files(s): -// altera_mf -// ============================================================ -// ************************************************************ -// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -// -// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -// ************************************************************ - - -//Copyright (C) 1991-2013 Altera Corporation -//Your use of Altera Corporation's design tools, logic functions -//and other software and tools, and its AMPP partner logic -//functions, and any output files from any of the foregoing -//(including device programming or simulation files), and any -//associated documentation or information are expressly subject -//to the terms and conditions of the Altera Program License -//Subscription Agreement, Altera MegaCore Function License -//Agreement, or other applicable license agreement, including, -//without limitation, that your use is for the sole purpose of -//programming logic devices manufactured by Altera and sold by -//Altera or its authorized distributors. Please refer to the -//applicable agreement for further details. - - -// synopsys translate_off -`timescale 1 ps / 1 ps -// synopsys translate_on -module atpll ( - areset, - inclk0, - c0, - c1, - c2, - locked); - - input areset; - input inclk0; - output c0; - output c1; - output c2; - output locked; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_off -`endif - tri0 areset; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_on -`endif - - wire [5:0] sub_wire0; - wire sub_wire2; - wire [0:0] sub_wire7 = 1'h0; - wire [2:2] sub_wire4 = sub_wire0[2:2]; - wire [0:0] sub_wire3 = sub_wire0[0:0]; - wire [1:1] sub_wire1 = sub_wire0[1:1]; - wire c1 = sub_wire1; - wire locked = sub_wire2; - wire c0 = sub_wire3; - wire c2 = sub_wire4; - wire sub_wire5 = inclk0; - wire [1:0] sub_wire6 = {sub_wire7, sub_wire5}; - - altpll altpll_component ( - .areset (areset), - .inclk (sub_wire6), - .clk (sub_wire0), - .locked (sub_wire2), - .activeclock (), - .clkbad (), - .clkena ({6{1'b1}}), - .clkloss (), - .clkswitch (1'b0), - .configupdate (1'b0), - .enable0 (), - .enable1 (), - .extclk (), - .extclkena ({4{1'b1}}), - .fbin (1'b1), - .fbmimicbidir (), - .fbout (), - .fref (), - .icdrclk (), - .pfdena (1'b1), - .phasecounterselect ({4{1'b1}}), - .phasedone (), - .phasestep (1'b1), - .phaseupdown (1'b1), - .pllena (1'b1), - .scanaclr (1'b0), - .scanclk (1'b0), - .scanclkena (1'b1), - .scandata (1'b0), - .scandataout (), - .scandone (), - .scanread (1'b0), - .scanwrite (1'b0), - .sclkout0 (), - .sclkout1 (), - .vcooverrange (), - .vcounderrange ()); - defparam - altpll_component.clk0_divide_by = 1, - altpll_component.clk0_duty_cycle = 50, - altpll_component.clk0_multiply_by = 1, - altpll_component.clk0_phase_shift = "5000", - altpll_component.clk1_divide_by = 1, - altpll_component.clk1_duty_cycle = 50, - altpll_component.clk1_multiply_by = 1, - altpll_component.clk1_phase_shift = "10000", - altpll_component.clk2_divide_by = 1, - altpll_component.clk2_duty_cycle = 50, - altpll_component.clk2_multiply_by = 1, - altpll_component.clk2_phase_shift = "15000", - altpll_component.compensate_clock = "CLK0", - altpll_component.gate_lock_signal = "NO", - altpll_component.inclk0_input_frequency = 40000, - altpll_component.intended_device_family = "Cyclone II", - altpll_component.invalid_lock_multiplier = 5, - altpll_component.lpm_hint = "CBX_MODULE_PREFIX=atpll", - altpll_component.lpm_type = "altpll", - altpll_component.operation_mode = "NORMAL", - altpll_component.port_activeclock = "PORT_UNUSED", - altpll_component.port_areset = "PORT_USED", - altpll_component.port_clkbad0 = "PORT_UNUSED", - altpll_component.port_clkbad1 = "PORT_UNUSED", - altpll_component.port_clkloss = "PORT_UNUSED", - altpll_component.port_clkswitch = "PORT_UNUSED", - altpll_component.port_configupdate = "PORT_UNUSED", - altpll_component.port_fbin = "PORT_UNUSED", - altpll_component.port_inclk0 = "PORT_USED", - altpll_component.port_inclk1 = "PORT_UNUSED", - altpll_component.port_locked = "PORT_USED", - altpll_component.port_pfdena = "PORT_UNUSED", - altpll_component.port_phasecounterselect = "PORT_UNUSED", - altpll_component.port_phasedone = "PORT_UNUSED", - altpll_component.port_phasestep = "PORT_UNUSED", - altpll_component.port_phaseupdown = "PORT_UNUSED", - altpll_component.port_pllena = "PORT_UNUSED", - altpll_component.port_scanaclr = "PORT_UNUSED", - altpll_component.port_scanclk = "PORT_UNUSED", - altpll_component.port_scanclkena = "PORT_UNUSED", - altpll_component.port_scandata = "PORT_UNUSED", - altpll_component.port_scandataout = "PORT_UNUSED", - altpll_component.port_scandone = "PORT_UNUSED", - altpll_component.port_scanread = "PORT_UNUSED", - altpll_component.port_scanwrite = "PORT_UNUSED", - altpll_component.port_clk0 = "PORT_USED", - altpll_component.port_clk1 = "PORT_USED", - altpll_component.port_clk2 = "PORT_USED", - altpll_component.port_clk3 = "PORT_UNUSED", - altpll_component.port_clk4 = "PORT_UNUSED", - altpll_component.port_clk5 = "PORT_UNUSED", - altpll_component.port_clkena0 = "PORT_UNUSED", - altpll_component.port_clkena1 = "PORT_UNUSED", - altpll_component.port_clkena2 = "PORT_UNUSED", - altpll_component.port_clkena3 = "PORT_UNUSED", - altpll_component.port_clkena4 = "PORT_UNUSED", - altpll_component.port_clkena5 = "PORT_UNUSED", - altpll_component.port_extclk0 = "PORT_UNUSED", - altpll_component.port_extclk1 = "PORT_UNUSED", - altpll_component.port_extclk2 = "PORT_UNUSED", - altpll_component.port_extclk3 = "PORT_UNUSED", - altpll_component.valid_lock_multiplier = 1; - - -endmodule - -// ============================================================ -// CNX file retrieval info -// ============================================================ -// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" -// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" -// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1" -// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" -// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" -// Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1" -// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" -// Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "25.000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "25.000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "25.000000" -// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "25.000" -// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" -// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "deg" -// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" -// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" -// Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0" -// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" -// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1" -// Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "1" -// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" -// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz" -// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "45.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "90.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "135.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "deg" -// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" -// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -// Retrieval info: PRIVATE: RECONFIG_FILE STRING "atpll.mif" -// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -// Retrieval info: PRIVATE: SPREAD_USE STRING "0" -// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK2 STRING "1" -// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -// Retrieval info: PRIVATE: USE_CLK0 STRING "1" -// Retrieval info: PRIVATE: USE_CLK1 STRING "1" -// Retrieval info: PRIVATE: USE_CLK2 STRING "1" -// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" -// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" -// Retrieval info: PRIVATE: USE_CLKENA2 STRING "0" -// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "5000" -// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "10000" -// Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "15000" -// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -// Retrieval info: CONSTANT: GATE_LOCK_SIGNAL STRING "NO" -// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "40000" -// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -// Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5" -// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" -// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1" -// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]" -// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]" -// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" -// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" -// Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2" -// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" -// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 -// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 -// Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2 -// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll.ppf TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll.inc TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll.cmp TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll.bsf TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll_inst.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll_bb.v TRUE -// Retrieval info: LIB_FILE: altera_mf -// Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/Quartus/IP/atpll_bb.v b/Quartus/IP/atpll_bb.v deleted file mode 100644 index 55750f0..0000000 --- a/Quartus/IP/atpll_bb.v +++ /dev/null @@ -1,256 +0,0 @@ -// megafunction wizard: %ALTPLL%VBB% -// GENERATION: STANDARD -// VERSION: WM1.0 -// MODULE: altpll - -// ============================================================ -// File Name: atpll.v -// Megafunction Name(s): -// altpll -// -// Simulation Library Files(s): -// altera_mf -// ============================================================ -// ************************************************************ -// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -// -// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -// ************************************************************ - -//Copyright (C) 1991-2013 Altera Corporation -//Your use of Altera Corporation's design tools, logic functions -//and other software and tools, and its AMPP partner logic -//functions, and any output files from any of the foregoing -//(including device programming or simulation files), and any -//associated documentation or information are expressly subject -//to the terms and conditions of the Altera Program License -//Subscription Agreement, Altera MegaCore Function License -//Agreement, or other applicable license agreement, including, -//without limitation, that your use is for the sole purpose of -//programming logic devices manufactured by Altera and sold by -//Altera or its authorized distributors. Please refer to the -//applicable agreement for further details. - -module atpll ( - areset, - inclk0, - c0, - c1, - c2, - locked); - - input areset; - input inclk0; - output c0; - output c1; - output c2; - output locked; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_off -`endif - tri0 areset; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_on -`endif - -endmodule - -// ============================================================ -// CNX file retrieval info -// ============================================================ -// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" -// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" -// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1" -// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" -// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" -// Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1" -// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" -// Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "25.000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "25.000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "25.000000" -// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "25.000" -// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" -// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "deg" -// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" -// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" -// Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0" -// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" -// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1" -// Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "1" -// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" -// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz" -// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "45.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "90.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "135.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "deg" -// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" -// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -// Retrieval info: PRIVATE: RECONFIG_FILE STRING "atpll.mif" -// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -// Retrieval info: PRIVATE: SPREAD_USE STRING "0" -// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK2 STRING "1" -// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -// Retrieval info: PRIVATE: USE_CLK0 STRING "1" -// Retrieval info: PRIVATE: USE_CLK1 STRING "1" -// Retrieval info: PRIVATE: USE_CLK2 STRING "1" -// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" -// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" -// Retrieval info: PRIVATE: USE_CLKENA2 STRING "0" -// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "5000" -// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "10000" -// Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "15000" -// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -// Retrieval info: CONSTANT: GATE_LOCK_SIGNAL STRING "NO" -// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "40000" -// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -// Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5" -// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" -// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1" -// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]" -// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]" -// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" -// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" -// Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2" -// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" -// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 -// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 -// Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2 -// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll.ppf TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll.inc TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll.cmp TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll.bsf TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll_inst.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL atpll_bb.v TRUE -// Retrieval info: LIB_FILE: altera_mf -// Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/Quartus/IP/atpll_inst.v b/Quartus/IP/atpll_inst.v deleted file mode 100644 index ac832ef..0000000 --- a/Quartus/IP/atpll_inst.v +++ /dev/null @@ -1,8 +0,0 @@ -atpll atpll_inst ( - .areset ( areset_sig ), - .inclk0 ( inclk0_sig ), - .c0 ( c0_sig ), - .c1 ( c1_sig ), - .c2 ( c2_sig ), - .locked ( locked_sig ) - ); diff --git a/Quartus/IP/attpll.bsf b/Quartus/IP/attpll.bsf deleted file mode 100644 index 2dee8a2..0000000 --- a/Quartus/IP/attpll.bsf +++ /dev/null @@ -1,108 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 2023 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ -(header "symbol" (version "1.2")) -(symbol - (rect 0 0 312 208) - (text "attpll" (rect 140 0 177 19)(font "Arial" (font_size 10))) - (text "inst" (rect 8 189 31 204)(font "Arial" )) - (port - (pt 0 72) - (input) - (text "inclk0" (rect 0 0 40 16)(font "Arial" (font_size 8))) - (text "inclk0" (rect 4 56 36 71)(font "Arial" (font_size 8))) - (line (pt 0 72)(pt 56 72)) - ) - (port - (pt 0 96) - (input) - (text "areset" (rect 0 0 42 16)(font "Arial" (font_size 8))) - (text "areset" (rect 4 80 38 95)(font "Arial" (font_size 8))) - (line (pt 0 96)(pt 56 96)) - ) - (port - (pt 312 72) - (output) - (text "c0" (rect 0 0 16 16)(font "Arial" (font_size 8))) - (text "c0" (rect 294 56 306 71)(font "Arial" (font_size 8))) - ) - (port - (pt 312 96) - (output) - (text "c1" (rect 0 0 16 16)(font "Arial" (font_size 8))) - (text "c1" (rect 294 80 304 95)(font "Arial" (font_size 8))) - ) - (port - (pt 312 120) - (output) - (text "c2" (rect 0 0 16 16)(font "Arial" (font_size 8))) - (text "c2" (rect 294 104 306 119)(font "Arial" (font_size 8))) - ) - (port - (pt 312 144) - (output) - (text "locked" (rect 0 0 44 16)(font "Arial" (font_size 8))) - (text "locked" (rect 270 128 306 143)(font "Arial" (font_size 8))) - ) - (drawing - (text "MAX 10" (rect 260 188 558 390)(font "Arial" )) - (text "inclk0 frequency: 25.000 MHz" (rect 66 66 289 146)(font "Arial" )) - (text "Operation Mode: Normal" (rect 66 82 264 178)(font "Arial" )) - (text "Clk " (rect 67 107 152 228)(font "Arial" )) - (text "Ratio" (rect 92 107 211 228)(font "Arial" )) - (text "Ph (dg)" (rect 125 107 288 228)(font "Arial" )) - (text "DC (%)" (rect 169 107 376 228)(font "Arial" )) - (text "c0" (rect 71 124 153 262)(font "Arial" )) - (text "1/1" (rect 98 124 209 262)(font "Arial" )) - (text "45.00" (rect 130 124 289 262)(font "Arial" )) - (text "50.00" (rect 174 124 377 262)(font "Arial" )) - (text "c1" (rect 71 141 151 296)(font "Arial" )) - (text "1/1" (rect 98 141 209 296)(font "Arial" )) - (text "90.00" (rect 130 141 289 296)(font "Arial" )) - (text "50.00" (rect 174 141 377 296)(font "Arial" )) - (text "c2" (rect 71 158 153 330)(font "Arial" )) - (text "1/1" (rect 98 158 209 330)(font "Arial" )) - (text "135.00" (rect 126 158 288 330)(font "Arial" )) - (text "50.00" (rect 174 158 377 330)(font "Arial" )) - (line (pt 0 0)(pt 313 0)) - (line (pt 313 0)(pt 313 209)) - (line (pt 0 209)(pt 313 209)) - (line (pt 0 0)(pt 0 209)) - (line (pt 64 105)(pt 210 105)) - (line (pt 64 121)(pt 210 121)) - (line (pt 64 138)(pt 210 138)) - (line (pt 64 155)(pt 210 155)) - (line (pt 64 172)(pt 210 172)) - (line (pt 64 105)(pt 64 172)) - (line (pt 89 105)(pt 89 172)(line_width 3)) - (line (pt 122 105)(pt 122 172)(line_width 3)) - (line (pt 166 105)(pt 166 172)(line_width 3)) - (line (pt 209 105)(pt 209 172)) - (line (pt 56 56)(pt 255 56)) - (line (pt 255 56)(pt 255 191)) - (line (pt 56 191)(pt 255 191)) - (line (pt 56 56)(pt 56 191)) - (line (pt 311 72)(pt 255 72)) - (line (pt 311 96)(pt 255 96)) - (line (pt 311 120)(pt 255 120)) - (line (pt 311 144)(pt 255 144)) - ) -) diff --git a/Quartus/IP/attpll.ppf b/Quartus/IP/attpll.ppf deleted file mode 100644 index c050b76..0000000 --- a/Quartus/IP/attpll.ppf +++ /dev/null @@ -1,13 +0,0 @@ - - - - - - - - - - - - - diff --git a/Quartus/IP/attpll_bb.v b/Quartus/IP/attpll_bb.v deleted file mode 100644 index 7fc2709..0000000 --- a/Quartus/IP/attpll_bb.v +++ /dev/null @@ -1,257 +0,0 @@ -// megafunction wizard: %ALTPLL%VBB% -// GENERATION: STANDARD -// VERSION: WM1.0 -// MODULE: altpll - -// ============================================================ -// File Name: attpll.v -// Megafunction Name(s): -// altpll -// -// Simulation Library Files(s): -// altera_mf -// ============================================================ -// ************************************************************ -// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -// -// 22.1std.2 Build 922 07/20/2023 SC Lite Edition -// ************************************************************ - -//Copyright (C) 2023 Intel Corporation. All rights reserved. -//Your use of Intel Corporation's design tools, logic functions -//and other software and tools, and any partner logic -//functions, and any output files from any of the foregoing -//(including device programming or simulation files), and any -//associated documentation or information are expressly subject -//to the terms and conditions of the Intel Program License -//Subscription Agreement, the Intel Quartus Prime License Agreement, -//the Intel FPGA IP License Agreement, or other applicable license -//agreement, including, without limitation, that your use is for -//the sole purpose of programming logic devices manufactured by -//Intel and sold by Intel or its authorized distributors. Please -//refer to the applicable agreement for further details, at -//https://fpgasoftware.intel.com/eula. - -module attpll ( - areset, - inclk0, - c0, - c1, - c2, - locked); - - input areset; - input inclk0; - output c0; - output c1; - output c2; - output locked; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_off -`endif - tri0 areset; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_on -`endif - -endmodule - -// ============================================================ -// CNX file retrieval info -// ============================================================ -// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" -// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" -// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any" -// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" -// Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1" -// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" -// Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "25.000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "25.000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "25.000000" -// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "25.000" -// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX 10" -// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" -// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "deg" -// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" -// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" -// Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0" -// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" -// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1" -// Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "1" -// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" -// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz" -// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "45.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "90.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "135.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "deg" -// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" -// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -// Retrieval info: PRIVATE: RECONFIG_FILE STRING "attpll.mif" -// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -// Retrieval info: PRIVATE: SPREAD_USE STRING "0" -// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK2 STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK3 STRING "0" -// Retrieval info: PRIVATE: STICKY_CLK4 STRING "0" -// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -// Retrieval info: PRIVATE: USE_CLK0 STRING "1" -// Retrieval info: PRIVATE: USE_CLK1 STRING "1" -// Retrieval info: PRIVATE: USE_CLK2 STRING "1" -// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" -// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" -// Retrieval info: PRIVATE: USE_CLKENA2 STRING "0" -// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" -// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "5000" -// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "10000" -// Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "15000" -// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "40000" -// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX 10" -// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" -// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" -// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF" -// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" -// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" -// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" -// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" -// Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2" -// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" -// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 -// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 -// Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2 -// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL attpll.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL attpll.ppf TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL attpll.inc FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL attpll.cmp FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL attpll.bsf TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL attpll_inst.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL attpll_bb.v TRUE -// Retrieval info: LIB_FILE: altera_mf -// Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/Quartus/IP/attpll_inst.v b/Quartus/IP/attpll_inst.v deleted file mode 100644 index 64aff40..0000000 --- a/Quartus/IP/attpll_inst.v +++ /dev/null @@ -1,8 +0,0 @@ -attpll attpll_inst ( - .areset ( areset_sig ), - .inclk0 ( inclk0_sig ), - .c0 ( c0_sig ), - .c1 ( c1_sig ), - .c2 ( c2_sig ), - .locked ( locked_sig ) - ); diff --git a/Quartus/RESDMAC.qpf b/Quartus/RESDMAC.qpf index e57a3a9..b1cdff8 100644 --- a/Quartus/RESDMAC.qpf +++ b/Quartus/RESDMAC.qpf @@ -19,12 +19,12 @@ # # Quartus Prime # Version 22.1std.2 Build 922 07/20/2023 SC Lite Edition -# Date created = 11:06:13 November 02, 2024 +# Date created = 09:38:14 November 03, 2024 # # -------------------------------------------------------------------------- # QUARTUS_VERSION = "22.1" -DATE = "11:06:13 November 02, 2024" +DATE = "09:38:14 November 03, 2024" # Revisions diff --git a/Quartus/RESDMAC.qsf b/Quartus/RESDMAC.qsf index 4d0b917..2707829 100644 --- a/Quartus/RESDMAC.qsf +++ b/Quartus/RESDMAC.qsf @@ -19,7 +19,7 @@ # # Quartus Prime # Version 22.1std.2 Build 922 07/20/2023 SC Lite Edition -# Date created = 11:06:13 November 02, 2024 +# Date created = 09:38:14 November 03, 2024 # # -------------------------------------------------------------------------- # # @@ -112,6 +112,7 @@ set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_ set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan set_global_assignment -name EN_USER_IO_WEAK_PULLUP OFF set_global_assignment -name EN_SPI_IO_WEAK_PULLUP OFF +set_global_assignment -name SLD_FILE db/stp1_auto_stripped.stp set_global_assignment -name VCCA_USER_VOLTAGE 3.3V set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 3.3V set_location_assignment PIN_M9 -to DATA_IO[31] diff --git a/Quartus/RESDMAC.qws b/Quartus/RESDMAC.qws index 8f6d10f89e63e9dfaf605b70c8f904cfce50d6e0..2fdcd5a17f7f47fc6b88013135380fbbb1a3455a 100644 GIT binary patch delta 176 zcmaFO{hWJ(zN`d;9uVp?1Tlm#_yFkuAn5}XDPv$@V49r5T*N3p`8sp4pgfQt&+z~M ze+CAY5TKO&=4h4#MmE-FAX{$oK~@zgbE03cBu+Co?kQw4p93^0nSp^>8mQ9=$VTTg L0>v2E8_;C{zC|4| delta 183 zcmaFP{hE7%zPuEJCqn>(K0_iy2@n=AX`@ Srs-_V(m?Lx$t#&upiBUdUnLR%