Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Update FTDI description for ECP5 (non-5g) Versa board #82

Open
wants to merge 1 commit into
base: master
Choose a base branch
from

Conversation

Keno
Copy link

@Keno Keno commented Jun 29, 2019

On my (brand new) ECP5 Versa (the non-5g variant), neither of the openocd cfg files worked,
because the this one had the wrong ftdi_device_desc (even though it's not the 5g one,
the ftdi desc claims it is), while the 5g one had the wrong jtag ID. I realize this is in
direct conflict with #38, so perhaps this needs to allow options (if OpenOCD supports
that - I'm not familiar with the syntax) or we need multiple variants of this file. In either
case, I managed to flash one of the examples (after changing the device model to the non-5g version)
successfully with this change.

On my (brand new) ECP5 Versa (the non-5g variant), neither of the openocd cfg files worked,
because the this one had the wrong ftdi_device_desc (even though it's not the 5g one,
the ftdi desc claims it is), while the 5g one had the wrong jtag ID. I realize this is in
direct conflict with YosysHQ#38, so perhaps this needs to allow options (if OpenOCD supports
that - I'm not familiar with the syntax) or we need multiple variants of this file. In either
case, I managed to flash one of the examples (after changing the device model to the non-5g version)
successfully with this change.
@daveshah1
Copy link
Contributor

I think for now the best option would be to comment out ftdi_device_desc altogether, as there seems to be quite a bit of inconsistency for the EEPROM content in the non-5G board.

@shuckc
Copy link

shuckc commented Jun 16, 2021

I had the same issue with my pre-5g Versa board. I changed the ftdi_device_desc and also the chip. The board had also been modified with a pen or biro to cross out the 5G suffix. I guess Lattice had component delays, so filled new dev kits with old parts after already having had FTDI pre-configure the chip?

$ git diff
diff --git a/examples/versa5g/Makefile b/examples/versa5g/Makefile
index 862aa8a..9f12ae2 100644
--- a/examples/versa5g/Makefile
+++ b/examples/versa5g/Makefile
@@ -11,7 +11,7 @@ pattern.vh: make_14seg.py text.in
        yosys -p "synth_ecp5 -json $@" $<

 %_out.config: %.json
-       nextpnr-ecp5 --json $< --lpf ${CONSTR} --textcfg $@ --um5g-45k --package CABGA381
+       nextpnr-ecp5 --json $< --lpf ${CONSTR} --textcfg $@ --um-45k --package CABGA381

 %.bit: %_out.config
        ecppack --svf-rowsize 100000 --svf ${PROJ}.svf $< $@
diff --git a/misc/openocd/ecp5-versa.cfg b/misc/openocd/ecp5-versa.cfg
index 4958627..219090c 100644
--- a/misc/openocd/ecp5-versa.cfg
+++ b/misc/openocd/ecp5-versa.cfg
@@ -1,7 +1,7 @@
 # this supports ECP5 Versa board

 interface ftdi
-ftdi_device_desc "Lattice ECP5 Versa Board"
+ftdi_device_desc "Lattice ECP5_5G VERSA Board"
 ftdi_vid_pid 0x0403 0x6010
 # channel 1 does not have any functionality
 ftdi_channel 0

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

3 participants