Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Scala version #4293

Open
schoeberl opened this issue Jul 19, 2024 · 2 comments
Open

Scala version #4293

schoeberl opened this issue Jul 19, 2024 · 2 comments

Comments

@schoeberl
Copy link
Contributor

Type of issue: Documentation missing

Please provide the steps to reproduce the problem:

Try to use Chisel 3.5.6 with Scala 2.13.14 (and many other combinations)

What is the current behavior?

missing chisel3-plugin_2.13.14

As a result, Chisel depends on a fully specified Scala version.

We should document which Scala version is supported for which Chisel (plugin) version.

@schoeberl
Copy link
Contributor Author

schoeberl commented Jul 19, 2024 via email

@Yakkhini
Copy link

Yakkhini commented Aug 2, 2024

Vote for this. Maybe we should add a section in Versioning.md to document this? A guide for Chisel 3 and newer Chisel versions, e.g., https://mvnrepository.com/artifact/org.chipsalliance/chisel-plugin

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants